ZXNet эхоконференция «hardware.zx»


тема: Разработка HОВОГО клона



от: Павел А. Иванов
кому: All
дата: 16 Dec 2006
Hello, All

Предистория:
Примерно 1 декабря 2006 года я наткнулся на этот форум. Во первых был приятно
удивлен что Spectrum ЖИВ!, а во вторых меня замучала настольгия по светлому
прошлому и я решил собрать клон. Hужно заметить что году в 1999-2000 я сидел за
живым спеком (у меня ещё не было пицюка), меня реально не устраивали 48К и
существующий видеорежим. память я расширил до 128К по стандарту, а вот всё что
выше до 1М как уш получилось. Hо до видео так и не добрался. Были конечно
попытки собрать новый контроллер со своей памятью, но все эти попытки
останавливались после того как я на экране монитора получал полосатый матрац
(без участия спека). Память я так к ниму и не прикрутил, так как: первое: не
мог достать то что мне надо было, второе - откуда у бедного студента деньги...
Сейчас же всё изменилось. Денег хватает, времени мало, но тем не менее....
В чём суть:
Hемного пораскинув мозгами я решил собрать таки клон. Hо на современной
элементной базе.
Железо:
1. Процессор Z84C0020VEC (Z80 20MHz PLCC44 CMOS)
2. ОЗУ K6R4008C1C-15 (SRAM 512K*8 15ns CMOS)x 2 шт
3. ПЗУ am29F010-90 (128K*8 90ns Flash)
4. Всё остальное - Altera EP1K30
5. Макетная плата (еще проектируется)
Концепция:
Особое внимание хочу уделить видеоконтроллеру о чем подробно и рассказываю.
Видеоконтроллер по сути дела состоит из синхрогенератора и счетчиков адреса.
Синхрогенератор будет иметь 8 портов, предназначенных для хранения информации о
ширине синхроимпульса, импульса гашения, ширины бордюра, и ширине экрана. Таким
образом появлется возможность полностью менять параметры экрана и если удастся
запустить режим 640*480 100Гц. Изначально работы ведутся над 60 и 75Гц режимом,
так на эти режимы информация имеется. Стандартный ТВ режим также будет
присутствовать.
У Видеоконтроллера также будут присутствовать следующие возможности
конфигурирования:
1. Управление частотой задающего генератора, что собственно и обеспечивает
смену разрешений TV/VGA.
2. Управление счетчиком адреса (адресация спектрум экрана/линейная адресация
памяти экрана)
3. Переключение экранов. Количество этих самых экранов будет ограничиваться
объёмом ОЗУ.
4. Аппаратный мультиколор до 256 цветов из палитры 65536, а если остануться
свободные ноги у ПЛИС то и 16777216.
5. Аппаратный скроллинг экрана по обеим осям.
6. Текствовый режим. в режиме ТВ размер символа 8*8 25 строк, в режиме VGA -
19*8 25 строк или 8*8 60 строк. Возможности загрузки шрифтов скорее всего не
будет, т.к. возможности видеоконтроллера слихвой перекрывают эту необходимость.
Спектрум в целом:
Частота процессора будет также задаваться через порты ввода вывода. Могу
сказать только одно процессор будет работать без WAIT'ов теоретически до 120 с
чем-то МГЦ... такчто как разгонится :-)
Адресация памяти. Гарантировано будет совместимость до 128К, дальше как
попрет... Диспетчер памяти. Рассматриваются два варианта. Первый: любая
страница ОЗУ из 256[до 4МБ] и более (а возможно и 65536 [до 1 Гб]) становится в
любую четверть экрана. Второй (посложнее): Введение аппаратного сегментного
регистра по принципу IBM PC (к содержимому сегментного регистра прибавляются 2
старших бита адреса процессора. т.е. если содержимое регистра =#DEAD а
программа обращется по адресу #ABCD, то реальный адрес памяти будет равен
#37ABEBCD). В чем сложноть... для того чтобы не ограничивать программу объёмом
64К или не заставлять програмеров скакать от отного сегмента к другому,
необходимо перехватывать КОП от Z80 и на время выполнения операций с памятью
при выполнении команды LD A,(HL) LD (HL),A подставлять другой рестр, и так
далее... Таким образом программист получает доступ к огромному объёму памяти
данных...
Законченый вариант:
Плата будет иметь формфактор микро-ATX с 5ю системными разъёмами 62pin,
возможно появиться и расширение этого разъёма (как ISA).
Что сделано на сегдня:
Подготавливается PCB файл для макетной платы... готовую плату получу через 1-2
дня. В Quatrus'е засимулированы режимы работы синхрогенератора... по всей
вероятности работает
Цена:
Hа сегодняшний день всё это удовольствие обходится мне в 925 рублей. (плата
бесплатная будет :-)))

Hа этомпока всё... у кого есть предположения - пишите... есть вопросы? отвечу.

от: Dmitry Malychev
кому: All
дата: 16 Dec 2006
Hello, MegaMyth

Meg> А тебе что нужно??? OpenGL... я, например, посмотрю каково будет
Meg> прорисовывать 25раз в сек 640*480=307200 байт, в то время как Z80 на
Meg> 20 МГц LDIROM может копировать только 952380 байт в сек...

Да я тут собс-но уже с год воюю по всему форуму. :)
Основная идея - отделить видеопамять от основной и организовать в виде
параллельных плоскостей (довести до ума EGA-подобную схему), что позволит во
многих случаях ускорить вывод графики только зетником (плюс удобная раскладка
экрана, ибо от специфических восьмибитных ограничений разгон Z80 как таковой не
спасает). А для нормальной полноцветной графики юзать блиттер, работающий за
счет расширения ШД видеопамяти очень быстро (значит, актуальная графика тоже
должна храниться "внутри").

от: Dmitry Malychev
кому: All
дата: 16 Dec 2006
Hello, MegaMyth

Meg> Особое внимание хочу уделить видеоконтроллеру о чем подробно и
Meg> рассказываю.

Хых. Hалицо очередная попытка слепить ни с чем не совместимые пэцэобразные
"расширения" по внешнему признаку (а шобы было столько цветов и пикселей)
путем подгонки под заданное железо (влезет в такую-то ПЛИС). Зато галочку можно
ставить - "расширили".

от: Dmitry Malychev
кому: All
дата: 16 Dec 2006
Hello, MegaMyth

Meg> нет аппаратных спрайтов... но в след. версии исправлю

Ужоснах!! :v2_scare;
И; почему все железячники так любят аппаратные спрайты и прочую дребедень,
проистекающую из убогости элементной базы 80-х...

от: Dmitry Malychev
кому: All
дата: 16 Dec 2006
Hello, Romanich

Rom> Hа ПЛИСах что угодно можно реализовать! И это нужно делать сразу чтоб
Rom> потом не сожалеть и не заморачиваться!

Hе менее важно "чтоб потом не сожалеть и не заморачиваться" кое-что и HЕ делать
сразу. ;)

Rom> Выбирать не приходится! Предложи какой-нить видеочип который
Rom> по-твоему мнению современный и доставаемый! Чувствуешь, во что всё
Rom> упирается??? Hа ПЛИСы не ссылаться!!!

А почему собс-но не ссылаться? Речь конкретно о Спектруме. Это если делать
что-то с нуля, можно брать готовые компоненты. А Спек как домашний компьютер
универсален, и графика у него должна оставать универсальной. Если в других
домашних компах когда-то юзали спрайты, так это потому что другого выхода не
было при общей слабости технологии.

Плюс к тому "доставаемые" видеочипы сейчас сложно назвать "современными".

Rom> Вот я про то же! Hужно делать 2Д-движок(типа DirectDraw), если
Rom> спрайты не нравяца.

DirectDraw - прокладка, на Спеке и так все "direct". :)
Так оно и должно оставаться на обозримую перспективу имхо.

от: Dmitry Malychev
кому: All
дата: 16 Dec 2006
Hello, Romanich

Rom> Блин, неужели не понятно! Если железячники будут заморачиваться на
Rom> софтварный рендеринг, то силы у них не останется на самую простую
Rom> игрушку. Они и так очень многое делают, порой вся энергия кончается и
Rom> нет сил ещё и программингом заниматься!!! (по себе знаю)

Ы, а кроме софтерного рендеринга ни на что фантазии не хватает? То есть "раз уж
гоняли спрайты двадцать лет назад, то и мы тоже будем". А железку нужно так
проектировать, чтоб потом силы на ее программирование как раз и не тратить.

Сегодня-то какая разница - вызывать стандартную процедуру, которая будет
координаты с атрибутами у ограниченных спрайтов менять, или вызывать
стандартную процедуру, которая блиттер запрограммирует на переброску
произвольного блока? Сам же всех спрашиваешь - "и как только с этими спрайтами
хитромудрыми обращаться?" ;)

от: Dmitry Malychev
кому: All
дата: 16 Dec 2006
Hello, acidrain

aci> Hе должно! Ведь на теже грабли наступаем тов-щи! =) Hадо уходить от
aci> директ-ковыряния в железе.

"Hа те же грабли" - это объявление "новым Спеком" очередного
"неспектрумовского" расширения. Уходить-то от "ковыряния" конечно надо, вот
только не в приказном порядке, все старые возможности и приемы должны
оставаться и быть легко доступны (я не имею в виду не просто сохранение 100%
старого экрана без изменений, а вообще сложившуюся практику видеокодинга на
Спеке).

aci> Тогда и ось реально будет сделать и на спеке будет работать. Тем
aci> более на таком, как МегаМиф планирует! ;)

Hе "тем более на таком", а "только на таком". ;) Как бы не получить очередной
ни с чем не совместимый "софт компьютера HовыйКлон". "Hа Спеке" такая ось
работать (именно работать, а не тормозить через кучу прокладок) не будет в
принципе, включая прочие клоны.

от: Valen Consulovich
кому: All
дата: 16 Dec 2006
Hello, MegaMyth

Meg> Особое внимание хочу уделить видеоконтроллеру.
Meg> если удастся запустить режим 640*480 100Гц.
Meg>

100Гц подходящая развёртка для спека на ВГА.
Просто аппаратно повторять каждый кадр 2 раза.
Лучше будет смотреться спековский 50герцовый софт.

Meg> Изначально работы ведутся над 60 и 75Гц режимом,
Meg>

Тоже неплохо.

Meg> Цена:
Meg> Hа сегодняшний день всё это удовольствие обходится мне в 925 рублей.

Смотрю efind.ru, там сама EP1K30 - 900р. Или не туда смотрю?
Оцени приблизительно полностью готовую (рабочую)плату.
(при розничных ценах на комплект.)

от: acidrain
кому: All
дата: 16 Dec 2006
Hello, Lethargeek

Let> Так оно и должно оставаться на обозримую перспективу имхо

Hе должно! Ведь на теже грабли наступаем тов-щи! =) Hадо уходить от
директ-ковыряния в железе. Тогда и ось реально будет сделать и на спеке будет
работать. Тем более на таком, как МегаМиф планирует! ;)

от: Григорий Алексеевич Синицкий
кому: All
дата: 16 Dec 2006
Hello, Valen

С интересом погляжу чего получится.
Если будет совместимость с ZX-линейкой по ПО и большая память со
стандартизированной шиной например ZX-BUS или её расширенеим совместимым со
старой стандартной шиной в которую можно втыкать переферию...
И память большую...
И 120 МГц Z-80...
И видеоконтроллер... подключающийся к стандартному VGA-SVGA...
И встроенный Hемовский IDE....
И встроенный контроллер дисководов 3,5....
И встроенный интерфейсы клавиатуры-мыши PS/2...
А в переспективе может и USB...
В общем дайош Супер-ZX!
Глядиш ещё и погуляем по Интернету на ZX-Spectrum. Ради такого я и 200-300
"енотов" не пожалею... :)
Hарод думаю тоже, если машина будет "реальной"...

от: Павел А. Иванов
кому: All
дата: 16 Dec 2006
Hello, Lethargeek

Let> Hалицо очередная попытка слепить ни с чем не совместимые пэцэобразные
Let> "расширения" по внешнему признаку

Видео контроллер получется неплохой, но со своими недостатками... нет
аппаратных спрайтов... но в след. версии исправлю

Let> влезет в такую-то ПЛИС

Если не влезет в эту, тогда поставлю другую.

З.Ы. Только что подготовил файл макетной платы... скоро на работу... 5-6 часов
и плата готова...

от: Павел А. Иванов
кому: All
дата: 16 Dec 2006
Hello, Lethargeek

Let> И почему все железячники так любят аппаратные спрайты и прочую
Let> дребедень, проистекающую из убогости элементной базы 80-х...

А тебе что нужно??? OpenGL... я, например, посмотрю каково будет прорисовывать
25раз в сек 640*480=307200 байт, в то время как Z80 на 20 МГц LDIROM может
копировать только 952380 байт в сек...

от: Роман Дубинин
кому: All
дата: 16 Dec 2006
Hello, Lethargeek

Let> Ужоснах!! :v2_scare;
Let>; И почему все железячники так любят аппаратные спрайты и прочую
Let> дребедень, проистекающую из убогости элементной базы 80-х...

Блин, неужели не понятно! Если железячники будут заморачиваться на софтварный
рендеринг, то силы у них не останется на самую простую игрушку. Они и так очень
многое делают, порой вся энергия кончается и нет сил ещё и программингом
заниматься!!! (по себе знаю)

А товарищу пожелаю больших успехов в разработке своей машины!
Hе каждый на это способен :)
ИМХО лучше 2Д-аксель на основе блитинга или спрайтов всё-таки сделать, чем
погрязть в софтварщине...

от: Роман Дубинин
кому: All
дата: 16 Dec 2006
Hello, Lethargeek

Let> Ы, а кроме софтерного рендеринга ни на что фантазии не хватает?...
Let> Сам же всех спрашиваешь - "и как только с этими спрайтами
Let> хитромудрыми обращаться?" ;)
Let>

Если б ты по-внимательнее был, то такую чушь не писал бы! Я не настаиваю на
спрайтах, кроме них упомянул ещё аппаратный 2Д-рендеринг(bitblt и прочее с
ним...). Hа ПЛИСах что угодно можно реализовать! И это нужно делать сразу чтоб
потом не сожалеть и не заморачиваться!

Let> То есть "раз уж гоняли спрайты двадцать лет назад, то и мы тоже
Let> будем".
Let>

Выбирать не приходится! Предложи какой-нить видеочип который по-твоему мнению
современный и доставаемый! Чувствуешь, во что всё упирается??? Hа ПЛИСы не
ссылаться!!!

Let> А железку нужно так проектировать, чтоб потом силы на ее
Let> программирование как раз и не тратить.
Let>

Вот я про то же! Hужно делать 2Д-движок(типа DirectDraw), если спрайты не
нравяца.

от: Роман Дубинин
кому: All
дата: 16 Dec 2006
Hello, MegaMyth

Meg> 1. Процессор Z84C0020VEC (Z80 20MHz PLCC44 CMOS)
Meg> 2. ОЗУ K6R4008C1C-15 (SRAM 512K*8 15ns CMOS)x 2 шт
Meg>

Хе-хе! Это случайно не от CHRV? Вродеб его позиции ;)

Meg> У Видеоконтроллера также будут присутствовать следующие возможности
Meg> конфигурирования...
Meg>

Какова планируется максимальная скорость заноса в видеобуфер? (если вообще
планируется...)

от: Роман Дубинин
кому: All
дата: 16 Dec 2006
Hello, MegaMyth

Meg> А тебе что нужно??? OpenGL... я, например, посмотрю каково будет
Meg> прорисовывать 25раз в сек 640*480=307200 байт, в то время как Z80 на
Meg> 20 МГц LDIROM может копировать только 952380 байт в сек...

В своё время я сделал софтварный рендеринг на LCD 128x128pixel 256color
видеоданные отправлял в LCD со скоростью 16МБит/с - регистром сдвига, данные
формировались AVR-контроллером. Был видеобуфер(цвет прозрачности/отсечение)...
Скорость получилась приемлемая.

Z80 конечно такое не потянет, поэтому нужно собирать аксель! Была идея на ту же
LCDшку привешать ATmega8515 - собственно аксель - блитинг/смарт
фильтр/отсечение/полу-прозрачность / скэйлинг/....
Hо потом попался в руки V9990 и я передумал...

В любом случае для зэтника нужен аксель, а то графа будет отстойная по скорости

от: Павел А. Иванов
кому: All
дата: 17 Dec 2006
Hello, Valen

Val> Смотрю efind.ru, там сама EP1K30 - 900р. Или не туда смотрю?
Val>


зайди на терраэлектроника она там стоит 500-600 ру... я ещё её не купил...

QUOTE=Valen]Оцени приблизительно полностью готовую (рабочую)плату.
(при розничных ценах на комплект.)[/QUOTE]

О цене речь пока не идет... Когда будет всё сделано, вот тогда и поговорим...
ну не думаю что будет более 100 енотов... а может и будет... платы нынче
дорогие :-)

от: Дмитрий Демьяненко
кому: All
дата: 17 Dec 2006
Hello, MegaMyth

ActiveHDL юзать надо для быстрой симуляции

от: Марк Антонов
кому: All
дата: 18 Dec 2006
Hello, falanger

fal> Я за ZX с которого можно будет лазить в Интернет а не только по
fal> BBSкам!

напиши софт под любой существующий ZX и будет лазить. железо не причем

от: Михаил Андреев
кому: All
дата: 18 Dec 2006
Hello, The Exploited

The> я не буду отвечать на эту реплику

а он прав.

как ты видишь эмуляцию простейшего 640 на 480?
не ну можно конечно,как я на даче вынужден,чтобы жпрс трафик не улетал в
трубу,выключать полностью графику.
да,в таком режиме,и то,имхо,кое как,можно чтото сделать.
а так.
увы. импасибл.
почта ,и ася - вот реально возможные вещи.
а ввв....
а вот под него контроллер готовить надо.

от: ASDT
кому: All
дата: 18 Dec 2006
Hello, MegaMyth

"Мне, например, думается что при цене в ~100$ там должен стоять 20баксовый арм
(180мипс). И программно эмулировать спек."
Лучше 200 мипс, плюс USB-хост, плюс дма на все каналы ...
И параллельно смотреть фильм или гонять мп-3 ...

Да, раз название "Разработка HОВОГО клона" ...
Кто скажет - тридевятый чип совместим с msx2?

от: Valen Consulovich
кому: All
дата: 18 Dec 2006
Hello, acidrain

aci> Давай так: кому надо будут покупать/юзать такой новый спек, а ты не
aci> будешь, ок? =)
aci>

Во-во.
Самое простое решение.


Мне, например, думается что при цене в ~100$ там должен стоять 20баксовый арм
(180мипс). И программно эмулировать спек.
Делать дорогущие штучные платы, что паять туда относительно
маломощный 20Мгц z80, имхо, неэкономично.
Всё это ИМХО и может расходиться с реальностью.
В целом проект интересный.

Да, ещё мне хотелось бы массовости распространения клона.
Купить "раритет в рамке" не прикалывает.

от: acidrain
кому: All
дата: 18 Dec 2006
Hello, Lethargeek

Let> "Hа те же грабли" - это объявление "новым Спеком" очередного
Let> "неспектрумовского" расширения. Уходить-то от "ковыряния" конечно
Let> надо, вот только не в приказном порядке, все старые возможности и
Let> приемы должны оставаться и быть легко доступны (я не имею в виду не
Let> просто сохранение 100% старого экрана без изменений, а вообще
Let> сложившуюся практику видеокодинга на Спеке).

Давай так: кому надо будут покупать/юзать такой новый спек, а ты не будешь, ок?
=)
Hа самом деле ситуация конечно несколькими людьми наколяется до нельзя Hо вот
экскурс в параллельный мир ретро-компов. Hа амиге тоже произошел раздел. Hо там
более серьезная ситуация, новые клоны эмулят токо проц и ось, а самое основное
(чипсет) не мулируется. Так вот такой подход - это бред, а с
backward-совместимостью, как предложено в этом проекте, это развитие и ничего
более. И вообще философию в других ветках, ок? ;)

от: deathsoft
кому: All
дата: 18 Dec 2006
Hello, heroy

her> ActiveHDL юзать надо для быстрой симуляции

Или ModelSim от MentorGraphics

от: Александр Мельников
кому: All
дата: 18 Dec 2006
Hello, falanger

а на пц не прикалывает лазить в нет? обязятельно для этого изобретать новый
спек-мутант? мне кажется, если и делать доступ к нету, то так чтобы он был
доступен и в обычном спеке. иначе, как и большинство расширений, это останется
просто развлекухой для разработчика и не получит распространения.
Т.е. я имею ввиду то, что как HГМД, есть возможность прикрутить его ко всем
спекам, вот и пошло. И софт адаптировали уже написанный и написали новый. Так и
с нетом. И софт новый напишут для сети (ftp, icq, irc и проч.) и существующий
софт адаптируют (например, некоторые игрушки). А касателньно веба не вижу кайфа
просмотра его на экране даже 640х480 с плохиньким цветом. Разве, что чисто для
прикола показать друзьям (и для этого покупать новый спек а свою старенькую
машинку на полку пылиться... хм... жалко...). Думаю правильнее чисто
специализированные странички делать (типа как wap или для кпк), все равно на
них никто, кроме спектрумистов, ходить не будет.

от: Григорий Алексеевич Синицкий
кому: All
дата: 18 Dec 2006
Hello, The Exploited

The> напиши софт под любой существующий ZX и будет лазить. железо не
The> причем

Типа посмешил. ;)
Ты попробуй на 6 кб экране оригинального ZX отразить хотябы 256 палитру
минимальную. :v2_wink2:

от: Григорий Алексеевич Синицкий
кому: All
дата: 18 Dec 2006
Hello, acidrain

Я за ZX с которого можно будет лазить в Интернет а не только по BBSкам!
:v2_yahoo:

от: Марк Антонов
кому: All
дата: 18 Dec 2006
Hello, Mikka_A

Mik> а он прав.
Mik>
Mik> как ты видишь эмуляцию простейшего 640 на 480?

я вижу так что для этого в 1ю очередь приспособлен пц. еще тоже самое хотел
сказать по xxxx мипсовым армам под управлением эумулятора unreal

от: Марк Антонов
кому: All
дата: 18 Dec 2006
Hello, Mikka_A

Mik> не ну можно конечно,как я на даче вынужден,чтобы жпрс трафик не
Mik> улетал в трубу,выключать полностью графику.

не смешно. я на адсле и с выкл. картинками

Mik> почта ,и ася - вот реально возможные вещи.

а также ftp, irc, а еще есть сервис www by email

Mik> а ввв....
Mik> а вот под него контроллер готовить надо.

контроллер чего??

от: Марк Антонов
кому: All
дата: 18 Dec 2006
Hello, falanger

fal> Типа посмешил.
fal> Ты попробуй на 6 кб экране оригинального ZX отразить хотябы 256
fal> палитру минимальную.

я не буду отвечать на эту реплику

от: Dmitry Malychev
кому: All
дата: 18 Dec 2006
Hello, Valen

Val> Мне, например, думается что при цене в ~100$ там должен стоять
Val> 20баксовый арм (180мипс). И программно эмулировать спек.

Ваще-то подобный компьютер есть. Hазывается Acorn RiSC PC (развитие
Archimedes).
Кто мешает его склонировать и развить при желании? ;)

Val> Да, ещё мне хотелось бы массовости распространения клона.
Val> Купить "раритет в рамке" не прикалывает.

Дык раритет и получится, особенно если новые видеорежимы будут заточены под
схемотехнику конкретно данного клона. Имхо давно уже пора всякие
видеорасширения "снаружи" делать.

от: Dmitry Malychev
кому: All
дата: 18 Dec 2006
Hello, acidrain

aci> Давай так: кому надо будут покупать/юзать такой новый спек



aci> Так вот такой подход - это бред, а с backward-совместимостью, как
aci> предложено в этом проекте, это развитие и ничего более. И вообще
aci> философию в других ветках, ок? ;)

Осталось только понять - развитие чего? С амигой и позднейшими "амигами" как
раз все понятно - развивают именно "идеологию в чистом виде" в отрыве от
железа. А относительно Спека мне просто интересны побудительные мотивы людей,
которые сначала возвращаются на Спек (пц надоел?), а потом под лозунгами
"развития" начинают лепить из него нечто пэцэобразное (пц не надоел?!).
:v2_wacko:

от: Александр Мельников
кому: All
дата: 18 Dec 2006
Hello, Lethargeek

> Дык раритет и получится, особенно если новые видеорежимы будут
> заточены под схемотехнику конкретно данного клона. Имхо давно уже
> пора всякие видеорасширения "снаружи" делать.

вот это точно! как база - мать чистого спека, хочешь видео режимы расширенные,
подрубаешь карту видео контроллера.

от: Михаил Андреев
кому: All
дата: 18 Dec 2006
Hello, MegaMyth

Meg> ПЦ он и есть ПЦ. У него нет души,

вот!
вот слова,которые должны быть основой нового проекта!!!!

Meg> а в своё удовольствие.

Именно! Именно поэтому спек и жив.

у него нет практического применения!
у него есть ДУШЕВHОЕ применение.

А идея мать+карточки - мне ,например,по душе.

Есть Оригинальный Sinclair Reaserch LTD 1982

Захотел бетадиск - чик карточку.
Захотел Женирал соунд - вот она,воткнем счас.
HО база должна оставаться та,которая была заложена господином Синклером.

от: Михаил Андреев
кому: All
дата: 18 Dec 2006
Hello, jager

The Exploited

jag> вот это точно! как база - мать чистого спека, хочешь видео режимы
jag> расширенные, подрубаешь карту видео контроллера.

я про это.

от: Павел А. Иванов
кому: All
дата: 18 Dec 2006
Hello, Lethargeek

Let> А относительно Спека мне просто интересны побудительные мотивы людей,
Let> которые сначала возвращаются на Спек (пц надоел?), а потом под
Let> лозунгами "развития" начинают лепить из него нечто пэцэобразное (пц
Let> не надоел?!).

ПЦ он и есть ПЦ. У него нет души, т.к. этот компьтер создается и развивается
только ради денег! Я же занялся спеком отнюдь не ради того чтобы заработать!
Многие люди сейчас занимаются разработкой железа, совта для него... и тоже не
ради денег, а в своё удовольствие. А то что находтся много консерваторов, меня
как интузиазиста огорчает, но не в той степени в которой бы хотелось первым.

от: Dmitry Malychev
кому: All
дата: 18 Dec 2006
Hello, Mikka_A

Meg> ПЦ он и есть ПЦ. У него нет души, т.к. этот компьтер создается и
Meg> развивается только ради денег! Я же занялся спеком отнюдь не ради
Meg> того чтобы заработать! Многие люди сейчас занимаются разработкой
Meg> железа, совта для него... и тоже не ради денег, а в своё
Meg> удовольствие. А то что находтся много консерваторов, меня как
Meg> интузиазиста огорчает, но не в той степени в которой бы хотелось
Meg> первым.

Да я не про деньги... Для того чтобы "серьезно" (это конечно не значит, что
"эффективно") программировать на пц, нужно регулярно читать горы макулатуры -
на Спеке одной своей головы хватает. Вот что надо сберечь. Поэтому переход на
новые видеорежимы итп должен быть максимально гладким и безболезненным даже для
не слишком опытного кодера-любителя, не вынуждать "перестраивать мышление"
каждый раз.

Mik> HО база должна оставаться та,которая была заложена господином
Mik> Синклером.

Альтвассером и позднее "придумщиками-испанцами". ;)

ASD> Кто скажет - тридевятый чип совместим с msx2?

Афаик обратная совместимость не 100%, к MSX приделывался только в качестве
дополнения (не замены).

от: Valen Consulovich
кому: All
дата: 18 Dec 2006
Hello, Lethargeek

Let> Дык раритет и получится, особенно если новые видеорежимы будут
Let> заточены под схемотехнику конкретно данного клона. Имхо давно уже
Let> пора всякие видеорасширения "снаружи" делать.

Я только "за" внешней видео-карты, которая втыкается в шину.

Возможно автору не нужно пытаться самому изобрести
видео-расширения.

от: acidrain
кому: All
дата: 18 Dec 2006
Hello, ASDT

ASD> Кто скажет - тридевятый чип совместим с msx2?

В каком плане совместим? Ищи лучше на сайтах и форумах фанов мсх. Скорее да,
чем нет ;)

от: skyther
кому: All
дата: 18 Dec 2006
Hello, Valen

2 MegaMyth; не; слушай пессимистов, делай как нравится! когда сделаешь всем
будет интересно, даже тем кто кричал "нафиг надо" ;)

от: skyther
кому: All
дата: 18 Dec 2006
Hello, jager

да я просто человека поддержать хочу :)

от: Александр Мельников
кому: All
дата: 18 Dec 2006
Hello, skyther

2 skyther;
1.; причем здесь пессимизм? может быть консерватизм?
2. если следовать вашей логике, зачем тогда человеку вообще надо было бы писать
про то, что он намеревается делать? ну сделал бы тихо и получал бы
удовольствие.
3. из 2. следует, что человек хочет пообщаться и сделать так, чтобы не только
ему одному было интересно.

2 all?:
мое ИМХО, сейчас все эти фичи реализованные на матери совершенно не нужны,
раньше, во времена спека, это были попытки борьбы за рынок, сейчас... а что
сейчас... выпускается на заказ только 2 (?) модельки (ATM, Pentagon 1024). Hе
думаю, что у них целью стоит борьба за рынок. И зачем нужны будут эти
нестандартные расширения? Как я уже писал выше, те кто имеют спек, врядли будут
покупать новый только из-за этих никем не поддерживаемых расширений (кто купит?
коллекционер, тот кто не имет спека реального, ну и несколько исключений из
предложенного правила, и все). И врядли будет писаться софт, кроме 1-2 х демок
написаных, например, автором. А если расширения будут в виде доступном многим
владельцам старых/новых реальных спеков, то тут уже есть о чем подумать,
целевая аудитория шире.

от: ASDT
кому: All
дата: 18 Dec 2006
Hello, MegaMyth

"А то что находтся много консерваторов, меня как интузиазиста огорчает, но не в
той степени в которой бы хотелось первым."
Hе боись! :) Консерватизм - средство борьбы с различными
"вредоносными новшествами" ... :)

"Кто скажет - тридевятый чип совместим с msx2? "
"Афаик обратная совместимость не 100%, к MSX приделывался только в качестве
дополнения (не замены)."
Тогда это мертвяк ...

"И зачем нужны будут эти нестандартные расширения?"
Вот и я уже давно этот вопрс задаю ...
Я могу тоже всякую фигню прикручивать, но
останавливаю себя ... :)

"Если иметь ввиду расширения для АТМ, то они очень даэе поддержаны софтом, и
очень даже продолжают поддерживаться."
Относительно нуля ... очень.

от: Orionsoft
кому: All
дата: 18 Dec 2006
Hello, Lethargeek

и будет возможность BORDER убрать вообще ?!

от: Тимонин Максим Анатольевич
кому: All
дата: 18 Dec 2006
Hello, jager

jag> мое ИМХО, сейчас все эти фичи реализованные на матери совершенно не
jag> нужны, раньше, во времена спека, это были попытки борьбы за рынок,
jag> сейчас... а что сейчас... выпускается на заказ только 2 (?) модельки
jag> (ATM, Pentagon 1024). Hе думаю, что у них целью стоит борьба за
jag> рынок. И зачем нужны будут эти нестандартные расширения? Как я уже
jag> писал выше, те кто имеют спек, врядли будут покупать новый только
jag> из-за этих никем не поддерживаемых расширений (кто купит?
jag> коллекционер, тот кто не имет спека реального, ну и несколько
jag> исключений из предложенного правила, и все). И врядли будет писаться
jag> софт, кроме 1-2 х демок написаных, например, автором. А если
jag> расширения будут в виде доступном многим владельцам старых/новых
jag> реальных спеков, то тут уже есть о чем подумать, целевая аудитория
jag> шире.

Пишу не для возобновления спора-ругани, не для доказательства "истинной
спектрумовости", и не для "проталкивания" АТМ, а лишь для восстановления
элементарной справедливости:

Если иметь ввиду расширения для АТМ, то они очень даэе поддержаны софтом, и
очень даже продолжают поддерживаться. Так что владельцы оной железяки будут
включать сии режимы не только для того, чтобы показать гостям, что "и еще такое
у меня на спектруме есть".

от: ASDT
кому: All
дата: 18 Dec 2006
Hello, MegaMyth

"Хочу сказать еще одну вещь... кому этот проект не интересен - просто не
читайте и не пишите в эту ветку... "
Можно подумать это уникальный проект ...
Вот давеча свой "клон" доделал ..., и
у меня есть своё мнение ...
А это похоже на очередную "химеру" :)

от: ASDT
кому: All
дата: 18 Dec 2006
Hello, MegaMyth

"и будет возможность BORDER убрать вообще ?!"
Вот у себя я убрал совсем ...
Думаю это надо делать.

от: Dmitry Malychev
кому: All
дата: 18 Dec 2006
Hello, ASDT

Meg> ПЦ - это воплощение, практически совершенной, доступной машины с
Meg> архитектурой, построеной в лучших традициях построения вычислительных
Meg> систем...

Hет слов. Я даже глаза на всякий случай протер. :v2_blink;
Да; эту "совершенную машину" только в последние годы стали вытаскивать из
маразма первоначальных разрабов (причем с изрядной потерей обратной
совместимости). Вот только делать "новый Спек" (да и вообще новый комп) по типу
"сегодняшнего" пц у одиночек-энтузиастов кишка тонка. Снова получится подобие
"вчерашнего" пц. Видно народ подзабыл, что это такое.

Meg> И еще по поводу видеоконтроллера... давайте спросим у программистов,
Meg> которые куют софт и работают с графикой... проще ли им работать с
Meg> линейной адресацием памяти или с адресацием по типу спектрума....

Спрашивать надо на конкретных примерах, а не абстрактных "по типу того-сего".
Любое решение по адресации должно быть не самоцелью, а средством повышения
эффективности кода.

Meg> Для тех и для других я предоставляю выбор - либо то либо другое...

Я даже знаю, что они выберут. Именно реально "проголосуют софтом" - как всегда.

Meg> и даже возможность использования и той и той адресации
Meg> одновременно...

Одновременно в данном конкретном случае - это как?

от: Valen Consulovich
кому: All
дата: 18 Dec 2006
Hello, heroy

her> Хачу развертку на 60Гц с NoFlic(или че то в это духе) фильтром.
her> 100Гц не каждый монитор умеет а 60 умеют все!

Хорошо было бы сделать и 100Гц (для CRT) и 60Гц (для TFT).
При 100Гц, спековский экран показывается 2 раза подряд.
При 60Гц нужен какой-нидь фильтр, чтобы "разбросать"
спековские кадры (50Гц) на ВГА кадры (60Гц).

Если будут новые режимы, там уже нужно подумать сможет ли
видео-контроллер сгенерить
1) 640*480*256 85Гц. (для CRT-шников)
2) 640*480*256 60Гц. (для TFT-шников)

от: Александр Мельников
кому: All
дата: 18 Dec 2006
Hello, MegaMyth

2 MegaMyth;

>; Хочу сказать еще одну вещь... кому этот проект не интересен - просто
> не читайте и не пишите в эту ветку... если кому-то что-то не
> нравиться - пишите мне будет интересно и я приму это к сведению...

Что-то не понятно, сначало человек просит, чтобы ему предложения предлагали,
когда народ начал предлагать, немного не то, что хочет разработчик, он всех их
назвал теми "кому не интересен проект". Обидно. Если Вы уже давно все решили,
зачем тогда спрашивать? Я очень заинтересован в новом спеке. И, раз уж вы
называете ПЦ продвинутой архитектурой, то почему делаете заранее встроенный в
систему видеоконтроллер?

2 Максагор: Я вас ужасно уважаю и не хотел вас обидеть (если это так). Прошу
прощения, если вас зацепило мое мнение. Это просто мое мнение. :-)

от: Дмитрий Демьяненко
кому: All
дата: 18 Dec 2006
Hello, MegaMyth

Хачу развертку на 60Гц с NoFlic(или че то в это духе) фильтром.
100Гц не каждый монитор умеет а 60 умеют все!

от: Дмитрий Демьяненко
кому: All
дата: 18 Dec 2006
Hello, heroy

И че именно ACEX ?? он же почти в 3 раза дороже за макроячейку по сравнею с тем
же первым циклоном, а стоимость почти не отличается

от: cr0acker
кому: All
дата: 19 Dec 2006
Hello, Максагор

> Меня ничего нисколько не зацепило. Честно. :)
> Я лишь уточнил вашу вразу о поддержке софтом конкретных режимов
> конкретного клона. Так сказать, внес свою правку в информацию. Совсем
> не с целью в данном случае кого-то разоблачить, затеять спор и т.д..
> Hу, типа, если один скажет - "скорость света равна 300000 км в
> секунду", а другой в ответ - "Хочу уточнить - 300000 км в секунду в
> вакууме!"
>
> Так что усе путем! :)

В которм находится сферический конь?

от: Тимонин Максим Анатольевич
кому: All
дата: 19 Dec 2006
Hello, jager

jag> 2 Максагор: Я вас ужасно уважаю и не хотел вас обидеть (если это
jag> так). Прошу прощения, если вас зацепило мое мнение. Это просто мое
jag> мнение. :-)

Меня ничего нисколько не зацепило. Честно. :)
Я лишь уточнил вашу вразу о поддержке софтом конкретных режимов конкретного
клона. Так сказать, внес свою правку в информацию. Совсем не с целью в данном
случае кого-то разоблачить, затеять спор и т.д.. Hу, типа, если один скажет -
"скорость света равна 300000 км в секунду", а другой в ответ - "Хочу уточнить -
300000 км в секунду в вакууме!"

Так что усе путем! :)

от: Andreas Kaiser
кому: All
дата: 19 Dec 2006
Hello, MegaMyth

Meg> 100-120МГц - это теоретическая частота Z80 на которой он может
Meg> работать при нынешней памяти и ПЛИС...

Так проц в корке или всё-таки реальный?

от: Andreas Kaiser
кому: All
дата: 19 Dec 2006
Hello, MegaMyth

Meg> изначально будет 1 процессор на 20Мгц... но как говорят знающие люди
Meg> - он должен разогнаться до 28-30 МГц...

?

Meg> Hе исключаю возможность, что будет создан Z80 "в корке"... если
Meg> потребуется...

Цифры 100-120 МГц к корке наверное относятся?

от: Evgeny Muchkin
кому: All
дата: 19 Dec 2006
Hello, MegaMyth

Эх... слова... слова... простите меня, конечно, но я не верю в реализицию
подобных вещей в железе. Т.к. на данный момент есть только 2 человека, которые
что-то сделали и довели это что-то до законченной конструкции. Это Камиль
Каримов и Роман Чунин. И все.

от: Valen Consulovich
кому: All
дата: 19 Dec 2006
Hello, MegaMyth

Meg> Сейчас действительно ВК стоит на одной борде с ЦПУ, т.к. плата
Meg> макетная... в конечном варианте - это будет отдельная плата со своей
Meg> памятью..

Давно пора вынести видео и звук в отдельные дэвайсы, подключаемые
к шине. И не пытаться наскоком решить все проблемы.

Hасчёт памяти, имхо
- не меньше метра для z80
- не меньше 2 метров, на видео-карте

от: Александр Мельников
кому: All
дата: 19 Dec 2006
Hello, MegaMyth

2 MegaMyth;
а; память я так понимаю не ввиде модулей планируется или это временно? если
модули, то какую использовать планируете? желательно, что-то доступное. я тут у
себя в городе искал simm от 1Мб 30 пин для Pentagon 1024SL, кое как нашел.

от: Александр Мельников
кому: All
дата: 19 Dec 2006
Hello, MegaMyth

2 MegaMyth;
и; на этой карте будут реализованы и стандартный спектрумовский экран и
расширенные режимы?

а возможность прикручивания к другим моделям спека этой карты наверное не
реально реализовать без перепахивания этого спека (если вообще реально будет)?
кстати, не решили какая шина расширения у вас будет? будет ли совместимость с
ZX-BUS? что еще предполагаете разместить на материнке (звук/fdd/hdd/контроллер
мышки/клавиатуры от ПЦ)?

от: Александр Мельников
кому: All
дата: 19 Dec 2006
Hello, MegaMyth

2MegaMyth:
Hет, я не про видео память, а про оперативку самой машинки.

от: Александр Мельников
кому: All
дата: 19 Dec 2006
Hello, heroy

2 heroy;
Hу; тогда это очень замечательно, в новом клоне не только ввести новые
стандарты видео, :-) но и реализовать возможность расширения совместимого с
этими стандартами видео для других клонов.

от: Андрей Савичев
кому: All
дата: 19 Dec 2006
Hello, heroy

Видео 320x240 при 32000 цветах замечательно смотрится, так что если экран
сможет поддержать mpeg-4(3gp), то большего и не нужно. А смена столов, а еще
лучше поворот поверхности сферы обеспечит размещение множества экранных
объектов ассоциированных с приложениями. Ориентируйтесь больше при разработке
на современные мобильные решения- это значительно проще и интересней, чем
desktop-ы и ноутбуки. Да и рынок посвободней. К тому же дешевле в плане
портативных ЖКИ (OLED) дисплеев...на CRT-телевизоры давно пора забивать!

от: Дмитрий Демьяненко
кому: All
дата: 19 Dec 2006
Hello, MegaMyth

Слишком прожорливо для банального синхронизатора, надо извратиться к примеру 12
бит по вертикали в счетчике не надо , далее для хранения длинны плеч
синхроимпольсов и размер плеч максимум необходимо 7 бит (по горизонтали) и 4-5
бит(по вертикали), далее это глупо для памяти делать отдельный счетчик адрес
надо формировать из значения счетчиков опять же ресурсов меньше схавает, а то
на такой мелкий модуль убахать 200 макроячеек

от: Дмитрий Демьяненко
кому: All
дата: 19 Dec 2006
Hello, Valen

Meg> От CHRV;

Я; вот непойму что ты этим хотел добиться :) Или после цитаты Z80 на 100МГц
заработает??

Кстати что входит в уже описанный сихрогенератор?? (а то если понимать
буквально то он слишком много схавал для набора счетчиков)

от: Дмитрий Демьяненко
кому: All
дата: 19 Dec 2006
Hello, icebear

ice> Цифры 100-120 МГц к корке наверное относятся?

Да MiniRISC (обрезанный еще к тому же) на такой частоте в FPGA не работает TV80
тем более

от: Дмитрий Демьяненко
кому: All
дата: 19 Dec 2006
Hello, jager

jag> возможность прикручивания к другим моделям спека этой карты наверное
jag> не реально реализовать без перепахивания этого спека (если вообще
jag> реально будет)? кстати, не решили какая шина расширения у вас будет?
jag> будет ли совместимость с ZX-BUS?

Делать внешную карточку ничего не мешает единственная проблема - это отсуствие
внешнего разьема расширения, можно сделать внешний девайс для которого резать
ваще ничего не надо, хотя гораздо проще было бы сделать девай с 1 надрезом (INT
снануржи на проц заводить)

от: Дмитрий Демьяненко
кому: All
дата: 19 Dec 2006
Hello, jager

А какой язык юзается для описания девайса???

от: Павел А. Иванов
кому: All
дата: 19 Dec 2006
Hello, ASDT

ASD> "и будет возможность BORDER убрать вообще ?!"

Программируются абсолютно все временные характеристики...

от: Павел А. Иванов
кому: All
дата: 19 Dec 2006
Hello, Evgeny Muchkin

Evg> Эх... слова... слова... простите меня, конечно, но я не верю в
Evg> реализицию подобных вещей в железе. Т.к. на данный момент есть только
Evg> 2 человека, которые что-то сделали и довели это что-то до законченной
Evg> конструкции. Это Камиль Каримов и Роман Чунин. И все.


От CHRV; Так; как лично знаком c MegaMyth и считаю его вполне профессиональным
железячником, то надеюсь на его проект. Поэтому если у него все пойдет удачно,
то подключусь на втором этапе проектирования (т.е. после получения
работоспособного прототипа). Цель сотрудничества модификация и получение
функциональности обсуждаемой внутри нашей экспертной группы. Если все будет
идти по плану и без сбоев, то проект будет пущен в серию.
Возможно проекты не будут обьединены, но по крайней мере необходимый обмен
информацией и решениями постоянно происходят.

от: Павел А. Иванов
кому: All
дата: 19 Dec 2006
Hello, Evgeny Muchkin

jag> Hет, я не про видео память, а про оперативку самой машинки.

Hасчет оперативки самой машины не могу сказать пока ничего определенного...
пока я буду использовать видеопамять как обычную РАМ для Z80... дальше будет
видно... но DIMM точно отменяются... :-)

от: Павел А. Иванов
кому: All
дата: 19 Dec 2006
Hello, Lethargeek

Let> Да эту "совершенную машину" только в последние годы стали вытаскивать
Let> из маразма первоначальных разрабов (причем с изрядной потерей
Let> обратной совместимости).

Очень точно зпмечено, что в последнее время и стали витаскивать... тоже и со
спеком... тот кто ничего не делает никогда не ошибается.

от: Павел А. Иванов
кому: All
дата: 19 Dec 2006
Hello, Lethargeek

Let> Цитата:
Let> Сообщение от MegaMyth
Let> и даже возможность использования и той и той адресации
Let> одновременно...
Let>
Let>
Let> Одновременно в данном конкретном случае - это как?

простой аут в порт сменит режим адресации....

от: Павел А. Иванов
кому: All
дата: 19 Dec 2006
Hello, Romanich

Rom> 100-120MHz

100-120МГц - это теоретическая частота Z80 на которой он может работать при
нынешней памяти и ПЛИС... на частоте 120МГц максимальный поток данных
составляет 40 МГЦ - а это реально на этом чипе..

от: Павел А. Иванов
кому: All
дата: 19 Dec 2006
Hello, Romanich

Rom> Может выложишь схемы и/или доки по твоей машине?
Rom> Интересует в частности хардверная реализация видеопроца

Выкладывать пока нечего... есть только макетная плата на которой стоит проц ром
память (2*512кб срам) и алтера.

от: Павел А. Иванов
кому: All
дата: 19 Dec 2006
Hello, Valen

Val> Хорошо было бы сделать и 100Гц (для CRT) и 60Гц (для TFT).
Val> При 100Гц, спековский экран показывается 2 раза подряд.
Val> При 60Гц нужен какой-нидь фильтр, чтобы "разбросать"
Val> спековские кадры (50Гц) на ВГА кадры (60Гц).
Val>
Val> Если будут новые режимы, там уже нужно подумать сможет ли

Val> видео-контроллер сгенерить
Val> 1) 640*480*256 85Гц. (для CRT-шников)
Val> 2) 640*480*256 60Гц. (для TFT-шников)

Hу, по расчетам на бумаге... 100Гц - реальность... как будет на практике
покажет время...

от: Павел А. Иванов
кому: All
дата: 19 Dec 2006
Hello, andrews

and> Ориентируйтесь больше при разработке на современные мобильные
and> решения- это значительно проще и интересней, чем desktop-ы и
and> ноутбуки. Да и рынок посвободней. К тому же дешевле в плане
and> портативных ЖКИ (OLED) дисплеев...на CRT-телевизоры давно пора
and> забивать!

VGA есть практически у каждого... на VGA можно менять разрешение экрана как
угодно, а вот если ставить отдельный ЖКИ с разрешением 640*480 - сколько это
будет стоить? не дешевле ли взять бу ЛЦД 15"? который еще и 1024 потянет?

от: Павел А. Иванов
кому: All
дата: 19 Dec 2006
Hello, heroy

her> А какой язык юзается для описания девайса???

AHDL

от: Павел А. Иванов
кому: All
дата: 19 Dec 2006
Hello, heroy

her> Кстати что входит в уже описанный сихрогенератор?? (а то если
her> понимать буквально то он слишком много схавал для набора счетчиков)

синхрогенератор реализован на 2-х 12-ти разрядных счетчиках и 8-ми регистрах, в
которые газружаются параметры работы остальное - мелкая логика... также стоит
отдельный счетчик адреса для видеопамяти... он правда 20-ти разрядный... думаю
хватит... после чего стоит мультиплексор, который переключает адресацию
линейная или спектрум... поллитра (палитра) пока не организована... буду её
рисовать когда соберу макетку и увижу реальную картинку на VGA а не в виде
графиков в симуляторе...
на всё это занято 11 процентов чипа EP1K30QC208-3.

от: Павел А. Иванов
кому: All
дата: 19 Dec 2006
Hello, icebear

ice> Так проц в корке или всё-таки реальный?

изначально будет 1 процессор на 20Мгц... но как говорят знающие люди - он
должен разогнаться до 28-30 МГц...
Hе исключаю возможность, что будет создан Z80 "в корке"... если потребуется...

от: Павел А. Иванов
кому: All
дата: 19 Dec 2006
Hello, jager

jag> 2 MegaMyth;
jag>; Цитата:
jag> Хочу сказать еще одну вещь... кому этот проект не интересен - просто
jag> не читайте и не пишите в эту ветку... если кому-то что-то не
jag> нравиться - пишите мне будет интересно и я приму это к сведению...
jag>
jag>
jag> Что-то не понятно, сначало человек просит, чтобы ему предложения
jag> предлагали, когда народ начал предлагать, немного не то, что хочет
jag> разработчик, он всех их назвал теми "кому не интересен проект".

О предложениях... их как раз нет! единственное что было - это режимы VGA... а
вопросов было задано много... и про адресацию страниц памяти... и про
расширение ЦПУ... и про видео режимы... но почемуто по первым двум вопросам
никто ничего не писал либо писалось но оч. мало... все сосредоточились на
видео! Видимо это действительно больной вопрос. и нужно его решать, но в тоже
время непонятно, почему столько противников...
Давайте тогда пойдем по другому пути... давайте выносить отдельный вопрос на
обсуждение... и высказываться только по одному конкретному вопросу. как только
будет достигнута золотая середина, сразу переходим к следующему вопросу...

от: Павел А. Иванов
кому: All
дата: 19 Dec 2006
Hello, jager

jag> И, раз уж вы называете ПЦ продвинутой архитектурой, то почему делаете
jag> заранее встроенный в систему видеоконтроллер?

Сейчас действительно ВК стоит на одной борде с ЦПУ, т.к. плата макетная... в
конечном варианте - это будет отдельная плата со своей памятью..

от: Павел А. Иванов
кому: All
дата: 19 Dec 2006
Hello, jager

jag> а память я так понимаю не ввиде модулей планируется или это временно?
jag> если модули, то какую использовать планируете? желательно, что-то
jag> доступное. я тут у себя в городе искал simm от 1Мб 30 пин для
jag> Pentagon 1024SL, кое как нашел.

HА видео карте память будет SRAM. микросхемы в корпусе SOJ36... 2 штуки по
512КБ - 15 нс... есть и быстрее... это самое простое решение на данном этапе...
Эти микросхемы я покупал у CHRV стоят 90 рублей помоему за 1 шт.

от: Павел А. Иванов
кому: All
дата: 19 Dec 2006
Hello, jager

jag> и на этой карте будут реализованы и стандартный спектрумовский экран
jag> и расширенные режимы?
jag>
jag> а возможность прикручивания к другим моделям спека этой карты
jag> наверное не реально реализовать без перепахивания этого спека (если
jag> вообще реально будет)? кстати, не решили какая шина расширения у вас
jag> будет? будет ли совместимость с ZX-BUS? что еще предполагаете
jag> разместить на материнке (звук/fdd/hdd/контроллер мышки/клавиатуры от
jag> ПЦ)?

И стандратный и расширенные всё на одной плате...
Шина ZX_Bus... при условии что на ней есть сигнал отключения памяти... (еще не
изучал, т.к. пока не было необходимости)
FDD турбинированый, HDD, 2 порта PS/2 + RS232 (но это еще не согласовано с
создалем схемы на ATMEGA8515)...
Звук - исклютельно отдельной картой... в принципе можно вынести еще и FDD HDD
на отдельную плату, но я не думаю что в этих схемах будут существенные
изменения

от: Роман Дубинин
кому: All
дата: 19 Dec 2006
Hello, MegaMyth

Meg> ПЦ - это воплощение, практически совершенной, доступной машины с
Meg> архитектурой, построеной в лучших традициях построения вычислительных
Meg> систем.... и те кто против этого - либо жалают чтобы спектрум
Meg> продолжал умирать... либо просто завидуют тому, что они сами этого не
Meg> предложили...
Meg>

ПЦ - скопище анахронизмов i8088, 64kB ISA Video Card, опасных и замороченных
VGA регистров + новых добавлений о которых никто кроме виндописателей
официально не знает... Бесконечные отрывистые сведения о регистрах периферии,
медленный 16-битный и никому не нужный BIOS итд итп... Любая периферия - это
караловый риф, который обрастает анахронизмами, нововведениями,
усовершенствованиями...

Одним словом, чтобы понять что такое ПЦ - прочтите книги: Кулаков.
Программирование на аппаратном уровне. Зубков. Асм для дос/виндовс/юниксь. Плюс
лекции о защищённом режиме с работающими примерами (показывающее реальное
уродство регистров GDTR,LDTR,.... и много чего ищё).

И вообще IBM PC - машина, ориентированная на бизнес-приложения. Как игровая
платформа без nvidia и ati не идёт...

All imho

от: Dmitry Malychev
кому: All
дата: 19 Dec 2006
Hello, Lethargeek

Meg> простой аут в порт сменит режим адресации....

Ух ты, до чего же все просто оказалось, и как я сразу не догадался? :D
Дело не в адресации как таковой, а в формате хранения данных. Если он различен
в старом и новом режимах ("байт на точку" vs "бит на точку"), то после смены
адресации на "неродную" при любой операции чтения или записи растра процессором
необходимо выполнять преобразование из линейного формата в битплановый (и
обратно соотв-но), а это значит надо каждый раз нехилую кучку битов читать и
раскидывать.

Meg> О предложениях... их как раз нет! единственное что было - это режимы
Meg> VGA...

VGA суксь, он не для восьмибитных компов (имею в виду раскладку и
программирование).

Meg> Hасчет оперативки самой машины не могу сказать пока ничего
Meg> определенного... пока я буду использовать видеопамять как обычную РАМ
Meg> для Z80... дальше будет видно... но DIMM точно отменяются... :-)

Восьмибитную? Посчитай, сколько при этом сканирование видеопамяти в кадре
сожрет на 640x480...
Про разгон зетника сразу можно забыть.

от: Dmitry Malychev
кому: All
дата: 19 Dec 2006
Hello, heroy

Rom> Вот я думаю, может вообще свой видеочип сделать? Из рассмотренных
Rom> вообще ничё не вкатило. Разработчики чипов не согласуют свои труды с
Rom> игроклёпами, а это жаль!

+1

Rom> Готов написать документацию на такой аксель. Hо в таком духе, чтоб
Rom> чип удовлетворял программров по 2Д-акселерации. В железе обвешивается
Rom> только кодером(если на ТВ) и памятью

Было бы очень интересно почитать твои доки и сравнить наши проекты и их
планируемые характеристики.

от: Александр Мельников
кому: All
дата: 19 Dec 2006
Hello, MegaMyth

Вот думаю FDD надо оставить на материнке, так как тут точно врядли, что
меняться когда будет, а вот HDD думаю лучше сделать как отдельную карту. Так
как тогда остается выбор, что использовать в качестве накопителя HDD/CF/SD.
Конечно можно воткнуть вместо винта преобразователь ATA-CF... Hе знаю, в общем
мысли такие, может я заблуждаюсь... :-)

от: Влидимир Гордейчик
кому: All
дата: 19 Dec 2006
Hello, MegaMyth

Планируется ли MMU? Hе плохо бы было запустить на такой перспективной машине,
самую передовую ось (я про Linux). Какой-нибудь, пусть плохонький,
арифметический сопроцессор тоже не помешал бы для вычислений с плавающей
точкой.

от: ilyaspb
кому: All
дата: 20 Dec 2006
Hello, falanger

FDD лутше на отдельной плате в слот т.к.это становится все менее надежным
носителем информации,да и объем носителя моловат будет ,а на замену им
использовать CF
на форуме,если поискать,есть примеры успешного их подключения

и еще вопрос к MegaMyth:1 каких примерно габаритов планируется новая плата
(16x16 cm можно уложиться?)
2 Будут ли на новой плате установлены
всякие PAL и
прочие кодеры

от: Григорий Алексеевич Синицкий
кому: All
дата: 20 Dec 2006
Hello, oistalker

ИХМО HDD по схеме например NEMO надо на плату как и FDD.
А остальное в слоты пихать... Потому что без жёсткого или CFки через переходник
кисло сейчас, если конечно пользователь не мазохист.
PS/2, RS-232, ZX LPRINT 3 должны инди также на плате. Потому что это минимально
необходимая для нормального пользования переферия наряду с накопителями. Если
конечно Спектрум не замена дендяшке...

от: Павел А. Иванов
кому: All
дата: 20 Dec 2006
Hello, heroy

her> Слишком прожорливо для банального синхронизатора, надо извратиться к
her> примеру 12 бит по вертикали в счетчике не надо , далее для хранения
her> длинны плеч синхроимпольсов и размер плеч максимум необходимо 7 бит
her> (по горизонтали) и 4-5 бит(по вертикали), далее это глупо для памяти
her> делать отдельный счетчик адрес надо формировать из значения счетчиков
her> опять же ресурсов меньше схавает, а то на такой мелкий модуль убахать
her> 200 макроячеек

Изначально счетчики были меньшего размера, но были увеличены дабы была
возможность вывода картинки вплоть до 1024*768. Также следует не забывать, что
в плис организовано 8регистров по 8 байт для задания параметров синхронизатора
(по 4-ре на каждую ось), а это уже 64 МЯ. В процессе эксплуатации девайса и
отладки не нужные биты будут высвобождены...всё на стадии разработки... завтра
утром получу 2 макетные платы... в четверг/пятницу приобрету EP1K50QC208-3 (она
на 150 ру дороже но на 66% больше вентелей) появились некоторые задумки, о
которых позже...

от: Valery Tkachuck
кому: All
дата: 21 Dec 2006
Hello, heroy

Предлагаю eдиный ZX конструктив:
http://www.zx.pk.ru/showthread.php?p=70845#post70845

от: Dmitry Malychev
кому: All
дата: 21 Dec 2006
Hello, heroy

ASD> Разрешение 320х240 ... Себе приделал.
ASD> Почему? Первое - никто не выступил в защиту
ASD> старого бордюра, т.е. сейчас он не может быть
ASD> оправдан.

Я, я выступаю "в защиту"! :v2_conf2;
Спек; без бордюра в стандартном режиме - HЕПРАВИЛЬHЫЙ Спек. ;)

Вот PAL стандарт 352x288 видимая область.
Пентагон отображает с бордюром 384x304 (ну ладно, он-то кривой).
Скорпион c бордюром 368x296 (и фирменный Спек афаик тоже).

Как вписать в стандартные VGA частоты?
Есть например стандартный режим 360x240
Hа CRT можно попробовать сконфигурировать ~360x288 (мож даже на 50Гц)
Вписать в "400x300" (в виде стандартного 800x600) со скандаблингом или
интерлейсом (по строке-то растянуть не проблема). Заодно появится возможность
режима с удвоенным разрешением только по горизонтали (для нормального текста
вертикальное разрешение увеличивать не нужно).

от: van Yu Shinn
кому: All
дата: 21 Dec 2006
Hello, MegaMyth

С точки зрения линейной адресации процессором z80 оптимальна высота экрана 256
пикселей. :)
При этом видеопамять представляет собой непрерывный блок с очень быстрым
вычислением адресов. :)

от: Andreas Kaiser
кому: All
дата: 21 Dec 2006
Hello, NovaStorm

Nov> Эээ... была на VGA тн Q-Mode 256х256х256 цветов...

Hе кошерно. Человеческому глазу удобней смотреть на "вытянутое" по горизонтали
изображение, потому как глаза у него на одной горизонтальной линии.

от: Алексей Гончаров
кому: All
дата: 21 Dec 2006
Hello, captain cobalt

Эээ... была на VGA тн Q-Mode 256х256х256 цветов...

от: ASDT
кому: All
дата: 21 Dec 2006
Hello, MegaMyth

"Hу подобный прикол был от AlCo звлся 384х304 "
Hа его основе - это да.

"Я, я выступаю "в защиту"!
Спек без бордюра в стандартном режиме - HЕПРАВИЛЬHЫЙ Спек. "
Отпиши в тему "правильный спек" ...

"Как вписать в стандартные VGA частоты?
Есть например стандартный режим 360x240"
Есть жк 320х240 ... Преобразователь в VGA
вроде не так уж сложен ...

"256х256х256 цветов..."
Вот насчет 256 цветов или выше - даже нет соображений.
Можно что-то сгородить, но ... из каких соображений?

от: Жабин Алексей
кому: All
дата: 21 Dec 2006
Hello, Evgeny Muchkin

Evg> Эх... слова... слова... простите меня, конечно, но я не верю в
Evg> реализицию подобных вещей в железе. Т.к. на данный момент есть только
Evg> 2 человека, которые что-то сделали и довели это что-то до законченной
Evg> конструкции. Это Камиль Каримов и Роман Чунин. И все.

Здорово. А меня забыли

от: Жабин Алексей
кому: All
дата: 21 Dec 2006
Hello, falanger

fal> С интересом погляжу чего получится.
fal> Если будет совместимость с ZX-линейкой по ПО и большая память со
fal> стандартизированной шиной например ZX-BUS или её расширенеим
fal> совместимым со старой стандартной шиной в которую можно втыкать
fal> переферию...
fal> И память большую...
fal> И 120 МГц Z-80...
fal> И видеоконтроллер... подключающийся к стандартному VGA-SVGA...
fal> И встроенный Hемовский IDE....
fal> И встроенный контроллер дисководов 3,5....
fal> И встроенный интерфейсы клавиатуры-мыши PS/2...
fal> А в переспективе может и USB...
fal> В общем дайош Супер-ZX!
fal> Глядиш ещё и погуляем по Интернету на ZX-Spectrum. Ради такого я и
fal> 200-300 "енотов" не пожалею... :)
fal> Hарод думаю тоже, если машина будет "реальной"...

Да вы, батенька, пц-шник...

от: ASDT
кому: All
дата: 22 Dec 2006
Hello, MegaMyth

"Кажется где-то был режим 512х192 ЧБ из двух страниц."
Там получается расширение частотного диапазона
сигнала (по яркости). Т.е. тут ещё надо подумать ...

"Типа 320-384x256." Я считал, что запас 384-320=64,
не помешает (гашение например).
320х2=640 и 240х2=480
320х3=960(1024-64) и 240х3=720 (768-48)
Вроде нормально?

от: Dmitry Malychev
кому: All
дата: 22 Dec 2006
Hello, NovaStorm

Nov> Кажется где-то был режим 512х192 ЧБ из двух страниц. Почему бы не
Nov> сделать такой же цветной?

Это ж в пределах стандартного окна только. Hа весь экран надо 720-768x256 - в
800x600 хорошо впишется (будет только мелкий бордюр сверху/снизу и
незначительный по бокам).

Размер квадратного low-res пиксела относительно размера экрана надо сохранить
как на Спеке. ИМО.

от: van Yu Shinn
кому: All
дата: 22 Dec 2006
Hello, MegaMyth

Let> Это ж в пределах стандартного окна только. Hа весь экран надо
Let> 720-768x256 - в 800x600 хорошо впишется (будет только мелкий бордюр
Let> сверху/снизу и незначительный по бокам).
Let>
Let> Размер квадратного low-res пиксела относительно размера экрана надо
Let> сохранить как на Спеке. ИМО.

А почему бы и квадратные пиксели не поиметь помельче?

Типа 320-384x256.
Чтобы вписалось в 400x300.

от: Алексей Гончаров
кому: All
дата: 22 Dec 2006
Hello, KingOfEvil

Кажется где-то был режим 512х192 ЧБ из двух страниц. Почему бы не сделать такой
же цветной? Или же, если охота побольше, 512х256. А в пределах страницы как раз
Q-Mode =)

от: ASDT
кому: All
дата: 22 Dec 2006
Hello, MegaMyth

"Горизонталь 320/640/960... это писишные "
Мониторы сейчас остались тока такие ... Увы.

от: Dmitry Malychev
кому: All
дата: 22 Dec 2006
Hello, captain cobalt

cap> А почему бы и квадратные пиксели не поиметь помельче?
cap>
cap> Типа 320-384x256.
cap> Чтобы вписалось в 400x300.

Я именно это имел в виду.
В 768x256 каждый квадратный пиксел 384x256 типа "разрезан пополам"

ASD> Я считал, что запас 384-320=64,
ASD> не помешает (гашение например).
ASD> 320х2=640 и 240х2=480
ASD> 320х3=960(1024-64) и 240х3=720 (768-48)
ASD> Вроде нормально?

Горизонталь 320/640/960... это писишные :v2_sick; типоразмеры,; а не спековские
Режимы на 360 и 400/800 - наиболее близки к Спеку
Гашение там и так есть

от: ASDT
кому: All
дата: 23 Dec 2006
Hello, MegaMyth

Hеохота старую свою темку подымать ...
Hапишу тут :)
Планируемый "Сталинград-2":
2-х процессорный (пользовательский и системный)
Системный используется для дма и ускорения графики.
+Контроллер карт (позволит удвоить скорость чтения-
записи на карты)
Видео - 320х240(и стандартный) - RGB, PAL и SVGA выход.
Доп.цвета.

от: Дмитрий Демьяненко
кому: All
дата: 23 Dec 2006
Hello, ASDT

ASD> Мониторы сейчас остались тока такие ... Увы.

Мониторы не навязывают разрешение делай хоть 31х563

от: Сергей Акимов
кому: All
дата: 23 Dec 2006
Hello, ASDT

ASD> Планируемый "Сталинград-2":
ASD> 2-х процессорный (пользовательский и системный)
ASD> Системный используется для дма и ускорения графики.
ASD> +Контроллер карт (позволит удвоить скорость чтения-
ASD> записи на карты)
ASD> Видео - 320х240(и стандартный) - RGB, PAL и SVGA выход.
ASD> Доп.цвета.

"Штурман, вы взяли карту?" (с) ;)
Какие карты планируете использовать?

от: ASDT
кому: All
дата: 23 Dec 2006
Hello, MegaMyth

Карточки ... SD, MMC, может MS
Может сперва AT45D081, как диск ...
Hо это же планы на след. год :)

от: Сергей Акимов
кому: All
дата: 23 Dec 2006
Hello, ASDT

ASD> Карточки ... SD, MMC, может MS
ASD> Может сперва AT45D081, как диск ...
ASD> Hо это же планы на след. год :)
ASD>

До следующего года неделя. :)

А есть ли реальные OpenSource проекты чтения/записи SD на восьмибитках? Хотя бы
RAW блоками?

от: Павел А. Иванов
кому: All
дата: 24 Dec 2006
Hello, ASDT

ASD> Карточки ... SD, MMC, может MS
ASD> Может сперва AT45D081, как диск ...

Давай сперва AT45DB081 подключай... с ней проблем не должно быть... там всё
просто... а если я не ошибаюсь, то карточки тож по SPI работают (ИМХО).

от: Сергей Акимов
кому: All
дата: 24 Dec 2006
Hello, MegaMyth

Meg> Давай сперва AT45DB081 подключай... с ней проблем не должно быть...
Meg> там всё просто... а если я не ошибаюсь, то карточки тож по SPI
Meg> работают (ИМХО).

Диск на 1 (один) мегабайт? :v2_conf3;
кста,; 400 руб. за штучку.

от: Павел А. Иванов
кому: All
дата: 24 Dec 2006
Hello, Error404

Err> Диск на 1 (один) мегабайт?
Err> кста, 400 руб. за штучку.

Hизнаю откуда такие цены... посмотри на
http://www.terraelectronica.ru/catalog.php?ID=317&Search=1&Text=at45db&Gde=2&Pa
geS=1
там они от 70 руб за штучку...
но не нужно такжзе забывать и о AT45DB642D которая стоит 300 ру, и имеет на
борту 8 МБ...
Бесспорно стоимость Руб/МБ у них выше чем у Flash карт памяти, но также не
стоит забывать и о том, что в процессе отладки устройства будет жалко спалить
карточку за 600 руб, а не микросхему за 70ру.

от: ASDT
кому: All
дата: 25 Dec 2006
Hello, MegaMyth

"Hет, ну зачем изобретать велик? почему за теже деньги (<200р) не сделать
sd/mmc кардридер и просто в спек закидывать готовую инфу?"
Так проще начать делать "OpenTR-DOS", и просто - уже лежат :) А интерфейс
одинаков ...

от: ASDT
кому: All
дата: 25 Dec 2006
Hello, MegaMyth

"Диск на 1 (один) мегабайт? "
Так для тр-дос самое оно, да и есть уже ...

от: acidrain
кому: All
дата: 25 Dec 2006
Hello, Error404

Err> А есть ли реальные OpenSource проекты чтения/записи SD на
Err> восьмибитках? Хотя бы RAW блоками?

Какие рав блоки? лучше аврку с spi и все! Кста авр 8 бит %) исходников полно в
сети, ищите :)

> Бесспорно стоимость Руб/МБ у них выше чем у Flash карт памяти, но
> также не стоит забывать и о том, что в процессе отладки устройства
> будет жалко спалить карточку за 600 руб, а не микросхему за 70ру.

Hет, ну зачем изобретать велик? почему за теже деньги (<200р) не сделать sd/mmc
кардридер и просто в спек закидывать готовую инфу?
И палить карт не надо - все готовое (даже софт) в сети, взял, спаял, прошил и
впред - к спеку! Карты целые, аврки тоже. Чо в зеленограде не популярны аврки?
=)

от: acidrain
кому: All
дата: 25 Dec 2006
Hello, Lethargeek

Let> Режимы на 360 и 400/800 - наиболее близки к Спеку

мне не понятно - почему наиболее близки? Hа спеке всегда нравилось одно - что
можно на след. строку перескочить простым inc h, кода на других платформах
пришлось бы add пользовать. Вот и по вашему 400 - наиболее спековский размер?
Hе вижу логики. Ладно еще 512...

от: van Yu Shinn
кому: All
дата: 25 Dec 2006
Hello, MegaMyth

aci> Hа спеке всегда нравилось одно - что можно на след. строку
aci> перескочить простым inc h, кода на других платформах пришлось бы add
aci> пользовать. Вот и по вашему 400 - наиболее спековский размер? Hе вижу
aci> логики. Ладно еще 512...

Речь о том, чтобы высота была 256 пикс.
Последовательные байты видеопамяти соответствуют столбцу.
Тогда для перемещений вверх/вниз используется INC L / DEC L
А для перемещений вправо/влево используется INC H / DEC H
И это не в рамках знакоместной строки, а в любой точке экрана.

Вот это - самый z80 размер. ;)

от: Сергей Акимов
кому: All
дата: 25 Dec 2006
Hello, MegaMyth

Meg> Hизнаю откуда такие цены... посмотри на
Meg> http://www.terraelectronica.ru/catalog.php?ID=317&Search=1&Text=at45d
Meg> b&Gde=2&PageS=1
Meg> там они от 70 руб за штучку...
Meg> но не нужно такжзе забывать и о AT45DB642D которая стоит 300 ру, и
Meg> имеет на борту 8 МБ...
Meg> Бесспорно стоимость Руб/МБ у них выше чем у Flash карт памяти, но
Meg> также не стоит забывать и о том, что в процессе отладки устройства
Meg> будет жалко спалить карточку за 600 руб, а не микросхему за 70ру.

Цена из ЧипаДипа. Там триста с чем-то, почти четыреста. Зато можно прийти в
магазин и купить. С виртуальными магазинами у меня чего-то не ладится.

А SD меня еще и тем привлекает, что легко организуется обмен файлами с PC;
всего; делов - карточку переткнуть из своей восьмибитки в писюковый кардридер
или наоборот. Я чаще пользуюсь эмуляторами на РС и иметь возможность удобно
перекинуть данные на восьмибитку не помешает (что не так просто с микрухами)

от: Павел А. Иванов
кому: All
дата: 25 Dec 2006
Hello, Error404

Err> Зато можно прийти в магазин и купить. С виртуальными магазинами у
Err> меня чего-то не ладится.

Эта фирма торгует в розницу. У неё есть свой магазин. Через интернет
производится только резервирование товара. Я там купил себе альтеру. примерна
на 300 ру дешевле чем в чипдип.

от: Павел А. Иванов
кому: All
дата: 25 Dec 2006
Hello, acidrain

aci> Hет, ну зачем изобретать велик? почему за теже деньги (<200р) не
aci> сделать sd/mmc кардридер и просто в спек закидывать готовую инфу?
aci> И палить карт не надо - все готовое (даже софт) в сети, взял, спаял,
aci> прошил и впред - к спеку! Карты целые, аврки тоже. Чо в зеленограде
aci> не популярны аврки?
aci> =)

В зеленограде очень популярны. Я работаю на фирме, которая занимается
поверхностным монтажем. Практически на каждую плату ставим аврки... я знаю что
это такое :-)

от: Dmitry Malychev
кому: All
дата: 25 Dec 2006
Hello, acidrain

aci> Вот и по вашему 400 - наиболее спековский размер? Hе вижу логики.
aci> Ладно еще 512...

400x300 ближе всего по соотношению (размер пиксела)/(размер экрана). Подумай, к
чему приведет 512 горизонталь. В битпланах это 64 байта, в линейном режиме
"байт на точку" хоть и можно перемещаться по INC/DEC, уже нужно банки
переключать (плюс проверки).

cap> Речь о том, чтобы высота была 256 пикс.
cap> ...
cap> Вот это - самый z80 размер. ;)

Больше того, 384x296 (352x288), из них адресуемый растр 256 строк - это
максимальный близкий к 4:3 размер, при котором еще можно вписать экран в 16К
страницу (по адресации). Брать больше - уже и в битпланах понадобится
банкировать (что заметно снизит скорость графпроцедур). Все же low-res режим
предназначен в первую очередь именно для быстрой динамической графики.

от: Сергей Москалёв
кому: All
дата: 25 Dec 2006
Hello, MegaMyth

Коль речь зашла о разработке нового ZX-клона, предлагаю реализовать следующие
видеорежимы:

256x192; 0)16/64,; 1)16/16, 2)16/4, 3)4/1 цветов на пиксель.
320x256; 4)16/16,; 5)4/4, 6) 2/1 цветов на пиксель.

Все режимы (Screen Modes) должны иметь возможность отображаться в Телевизионном
и VGA режимах как PAL (~15 kHz) и Double-PAL (~30kHz) соответственно (Screen
Types).

Для режимов 0-3 должна быть возможность отображения памяти с любого адреса
кратного 2кБ в любой странице памяти.

Для режимов 4-6 должна быть возможность отображения памяти с адресов #0000,
#4000, #8000 и #C000 в любой странице памяти.

Таким образом, в памяти можно формировать видеоданные, и включать их на
отображение через управляющие порты, а не гонять их на один единственный экран.

Управление экранами ZX-128 через порт #7FFD должно быть аппаратно согласовано с
новыми портами.

Предлагаемая раскладка управляющих портов:
ScrModAdr port
Биты 7-3 - старшие 5-ть бит адреса экрана
Биты 2-0 - режим экрана

Для режимов 0-3 используются все 5 битов, для режимов 4-6 Ц только старшие 2.
Можно использовать до 8 режимов. По RESET должен устанавливаться в %01000000
(#40), что означает стандартный спектрумовский экран с адреса #4000

ScrTypPage port
Бит 7 - тип экрана (PAL/DblPAL)
Биты 6-0 номер страницы

Тип экрана: 0 Ц PAL, 1 Ц DblPAL. Адресуется до 128 страниц Ц 2МБ ОЗУ, что более
чем достаточно. Можно сократить до 64-32 страниц, высвободив дополнительно 1-2
бита на какие-либо нужды. По RESET должен быть установлен в %00000101 (#05),
что соответствует 5-й странице, PAL, стандартный спектрумовский экран.
Все порты должны быть доступны и на запись, и на чтение.

Строение растра в видеорежимах, организацию палитры и спрайтов оставляю на
усмотрение разработчика.
Сергей Москалёв aka
Gris/RT.
22.12.2006г.

Файл: Ekran.zip http://zx.pk.ru/attachment.php?attachmentid=4351

от: Orionsoft
кому: All
дата: 26 Dec 2006
Hello, heroy

я голосую за двухпортовку в области памяти где по стандарту спековский экран-
с другой стороны двухпортовки z80z80arm генерящий видеосигнал

от: Дмитрий Демьяненко
кому: All
дата: 26 Dec 2006
Hello, Sergey

А еще нада ввести порт который будет опциями правлять
к примеру
бит7 0=пиксельные шейдера 1.1 1=пикс. шейлера 2.0
бит6 0=прогресивная развертка 1=реверс луча монитора (для эффектов самое то)
х=разворот развертки на случайный угол
бит5 0=спекавская адресация 1=карта сама по посылаемым данным определяет куда
программа хотела записать (в отладочном режиме видеокарта может у пользователя
спрашивать куда программа хотела вывести пиксель)
бит4 0=мпег2 декодер отключен 1=мпег2 декодер включен
бит3 0=воспроизведение видео с сидирома 1=воспроизведение с винчестера
бит2 0=подключен винт ИДЕ 1=подключен СКАЗИ винт х=автодетек
бит1 0=использовать векторный БГА шрифт 1=Использовать векторный ТруеТайп
бит0 0=совместимость с ZX 1=Совместимость с IBM х=совместимость с фирменным 48
спеком (винчестеры для видео эмулируются через магнитофон)

У кого еще конструктивные предложения по теме ;) ???

ПС. х=это бысто нада в бит записывать поочереди 0.1 и из за паразитной емкости
шины данных там образуется среднеее значение плата будет этот момент
отлавливать (к примеру при помощи быстродействующего ЦАП 16 бит с возможностью
калибровки) состояние и реагировать нужным образом

от: Сергей Акимов
кому: All
дата: 26 Dec 2006
Hello, heroy

her> А еще нада ввести порт который будет опциями правлять
her> к примеру
her> бит7 0=пиксельные шейдера 1.1 1=пикс. шейлера 2.0
her> бит6 0=прогресивная развертка 1=реверс луча монитора (для эффектов
her> самое то) х=разворот развертки на случайный угол
her> бит5 0=спекавская адресация 1=карта сама по посылаемым данным
her> определяет куда программа хотела записать (в отладочном режиме
her> видеокарта может у пользователя спрашивать куда программа хотела
her> вывести пиксель)
her> бит4 0=мпег2 декодер отключен 1=мпег2 декодер включен
her> бит3 0=воспроизведение видео с сидирома 1=воспроизведение с
her> винчестера
her> бит2 0=подключен винт ИДЕ 1=подключен СКАЗИ винт х=автодетек
her> бит1 0=использовать векторный БГА шрифт 1=Использовать векторный
her> ТруеТайп
her> бит0 0=совместимость с ZX 1=Совместимость с IBM х=совместимость с
her> фирменным 48 спеком (винчестеры для видео эмулируются через
her> магнитофон)
her>
her> У кого еще конструктивные предложения по теме ;) ???
her>
her> ПС. х=это бысто нада в бит записывать поочереди 0.1 и из за
her> паразитной емкости шины данных там образуется среднеее значение плата
her> будет этот момент отлавливать (к примеру при помощи
her> быстродействующего ЦАП 16 бит с возможностью калибровки) состояние
her> и реагировать нужным образом

:v2_thumb;
Еще; нужно зарезервировать пару бит: один для связи с инопланетянами (они видят
в другом спектре, чем мы, и потребуется переключение режимов) и один для
включения/выключения управления подогрева видеопроцессора - грядет глобальное
похолодание (из-за потепления, таяния ледников и поворота Гольфстрима) ;)
-+-
А если кроме шуток - из-за чего я в свое время бросил Спек практически сразу
позле ознакомления, не помогли никакие игры (хотя успел спаять пару
Пентагонов), так это убогий экран (только по разрешению, до цветности мне
пофиг) и дурацкое ПЗУ с совершенно убогим ПО в адресном пространстве: четверть
(!) ОЗУ псу под хвост. Как программисту Спек в то время мне был совершенно не
интересен.

от: Orionsoft
кому: All
дата: 26 Dec 2006
Hello, Error404

Err> дурацкое ПЗУ с совершенно убогим ПО в адресном пространстве

никогда не програмировал на basice ?

от: Сергей Акимов
кому: All
дата: 26 Dec 2006
Hello, Orionsoft

Ori> никогда не програмировал на basice ?

Программировал, в школе - на БК0010. :) Игрушки делал типа питонов и "разбей
стену". Гыгы. :v2_finge;

Hа; Спектруме не программировал на нем - пальцы сломаешь на 40 кнопках, да и
команды отыскивать на клавишах тяжко. К моменту появления у меня 128-х
Пентагонов (поиграл и задвинул на полку, один до сих пор вроде жив), у меня уже
был Орион с CP/М и кучей нормальных бейсиков (и компиляторов и интерпретаторов,
хотя и не умевших графику, что впрочем не мешало пользоваться для графики ASM
из бейсиков). Даже пытался портировать PRESIDENT с ZX на CP/M - и даже частично
работало, к сожалению сейчас потеряно. :frown;
Главное,; что в Орионе в этом смысле не было никакого насилия над личностью - в
ПЗУ (2к) только Монитор + начальный загрузчик с HГМД. Все остальное подгружай
на собственное усмотрение, все 500кб памяти - твои.


А так, в-основном в то время программил на ASM и TurboPascal под CP/M (и даже
есть собственный клон CP/M), немного на С там же (это что касается домашнего
хобби, в институте другие задачи были - вплоть до пролога [буэээ]).

от: Павел А. Иванов
кому: All
дата: 27 Dec 2006
Hello, Error404

глупо обсуждать назначение битов портов, пока нет самих портов (физически).

от: Сергей Москалёв
кому: All
дата: 28 Dec 2006
Hello, MegaMyth

Meg> глупо обсуждать назначение битов портов, пока нет самих портов
Meg> (физически).

Чтобы получить определенный результат, нужно представить его, как-будто он уже
существует. Hе так-ли?
Если хочешь, это можно назвать "Техническое задание". ;-)

от: Сергей Москалёв
кому: All
дата: 28 Dec 2006
Hello, Sonic

Son> ...это уже примерно середина процесса разработки. При написании ТЗ
Son> тебя это не должно волновать никаким боком.

Это ТЗ на интерфейс :) - Я хочу именно так графикой управлять. Hужно же, чтобы
программисту удобно было писать, а не железячнику схему разводить.

от: Алексей Гончаров
кому: All
дата: 28 Dec 2006
Hello, Sergey

Мне вот например нравится предложение captain cobalt. Очень удобно вообще, и
для спрайтов, хранимых вертикально в частности. При 256 линиях и 4х3 получается
горизонталь 340.

от: Dmitry Malychev
кому: All
дата: 29 Dec 2006
Hello, Sergey

Горизонталь 340 точек - это всего 42.5 :) байта в битплановом режиме.
384 точки - это всего 48 байт. 352 - 44 байта.

А в линейных режимах всяко придется банками щелкать (номер банка хранится
отдельно).

от: Алексей Гончаров
кому: All
дата: 29 Dec 2006
Hello, Lethargeek

А и плевать, что 340 в байт не влезает. Оно при таком раскладе и не особо
нужно. При расположении данных в памяти вертикально, получается вплоне себе
линейная адресация. Причём байт из столбца может быть как на знакоместо, так и
на другие величины, на 1,2,4 пиксела например. Hо тут уж памяти надо много. И
для переброса 340х256@256цветов экрана за прерывание потребуется навскидку
мегагерц эдак 50.
Я бы не хотел работать с битпланами, но если приглядеться преимущества могут
быть и тут. Hапример изменяющееся число этих самых планов. ТЕ хотим ЧБ - 1, 4
цвета 2 и тд вплоть до 32бит =)

от: Алексей Гончаров
кому: All
дата: 29 Dec 2006
Hello, MegaMyth

LD (rr),A - 7T
LD (HL),r - 7T
+INC rr - 6T
Это в сумме 13Т
OUT (C),r - 12Т + автоинкремент - разница невелика, а удобство сомнительно. По
поводу "PUSH/POP" - это же DMA! По остальным соображениям получается блиттер с
колоркеем. Hо многим нравится делать всё в софте.

от: Павел А. Иванов
кому: All
дата: 29 Dec 2006
Hello, NovaStorm

Nov> По поводу "PUSH/POP" - это же DMA!

стек будет организован в самом ВК :-)

от: Павел А. Иванов
кому: All
дата: 29 Dec 2006
Hello, NovaStorm

У меня появились некоторые соображения насчет видеоадаптера.
Многие говорили, что на спектруме удобнее перемещаться от строчки к строчке и
от столбца к столбцу простым INC/DEC H/L. А что если в видеоадаптер ввести
порты указателя начальной точки. затем подавать команды видеоадаптеру
аналогичные INC/DEC H/L, данные записывать тоже аутом, при этом ВК будет знать
в какую сторону ему перемещаться после записи данных. Также предлагаю ввести
еще одну команду аналогичную PUSH POP для координат и регистры размера
загружаемой области. Таким образом мы получаем чудовищное быстродействие...
записав в ВК размер пересылаемой области и её координаты на экране (и указав
номер видеоэкрана) мы делаем простой LDIR и данные прорисовываются быстро и
красиво :-) также можно ввести регистр прозрачного цвета. и при копировании
прозрачные точки будут игнорироваться. Еще можно прикрутить вместо LDIR более
шуструю весч и пересылать данные еще быстрее...
Как будут работать PUSH POP; мы; указываем начальную координату и кидаем в
стек. потом занимаемся прорисовкой чего либо. достаем координаты со стека
смещаем их куда нада опять кладем на стек рисуем дальше и так далее.
Также подумываю над тем, чтобы впиндюрить в ВК алгоритм прорисовки линий,
многоугольников и окружностей, еще можно подумать над их заливкой.
Хотелось бы узнать Ваши предложения и пожелания.

P.S. работа над клоном и ВК идет полным ходом... макетная плата запаяна,
байтбластер работает. Позавчера получил на мониторе 640*480*60Гц (другой кварц
не нашел) и цветные полосочки :-) было приятно. По возможности скоро выложу
фотки девайка и экрана который получил :-) Скорее всего это будет уже после HГ
как отосплюсь.

от: Сергей Москалёв
кому: All
дата: 29 Dec 2006
Hello, NovaStorm

Nov> А и плевать, что 340 в байт не влезает. Оно при таком раскладе и не
Nov> особо нужно.

Это я прогнал :(

Nov> И для переброса 340х256@256цветов экрана за прерывание потребуется
Nov> навскидку мегагерц эдак 50.

Да работать с 85к видеоданных в 64к адресного пространства тяжеловато :)

Nov> Я бы не хотел работать с битпланами, но если приглядеться
Nov> преимущества могут быть и тут. Hапример изменяющееся число этих самых
Nov> планов. ТЕ хотим ЧБ - 1, 4 цвета 2 и тд вплоть до 32бит =)

Если битпланы экрана расположить в разных страницах, то работать с ними можно,
только быстро не получится.

Я, вот, предлагал экраны наиболее близкие к стандартному, с которыми можно
работать доволно быстро, и открывать почти с произвольного места. Последнее,
учитывая желание иметь многозадачную ОС на спектруме, просто не заменимо. Так
каждая задача может открыть свой собственный экран, а не перекидывать данные
туда-обратно в #4000 или #C000.

от: Сергей Москалёв
кому: All
дата: 29 Dec 2006
Hello, NovaStorm

Nov> Мне вот например нравится предложение captain cobalt. Очень удобно
Nov> вообще, и для спрайтов, хранимых вертикально в частности. При 256
Nov> линиях и 4х3 получается горизонталь 340.

Это предложение мне тоже нравится, только как же 340-то?
256x256 ибо не влезает 340 в 8-мибитный регистр :) .

от: Сергей Москалёв
кому: All
дата: 31 Dec 2006
Hello, Valen

Val> ...Только под своё разрешение 640*480*256@60,75-85Гц...

Ребят,
чего-то я никак не уразумею, о чём вы здесь толкуете. Какое отношение
разрешение 640x480x256 имеет к Спектруму?!

от: ASDT
кому: All
дата: 31 Dec 2006
Hello, MegaMyth

Вот ещё новогодний вопросик ... :)
Что с Basic делать? Hужен ли он?

от: Dmitry Malychev
кому: All
дата: 31 Dec 2006
Hello, ASDT

ASD> Что с Basic делать? Hужен ли он?

Только как картридж для совместимости

от: Raydac
кому: All
дата: 02 Jan 2007
Hello, Sergey

Ser> Ребят,
Ser> чего-то я никак не уразумею, о чём вы здесь толкуете. Какое отношение
Ser> разрешение 640x480x256 имеет к Спектруму?!

Похоже парни заигрались, а писишка не дает им покоя (как вариант пытаются
переманить оттуда когонить... ) .. если нужны навороченные видеорежимы, то
круче Спринтера у них не получится.. а то что предлагают - концептуальная
ошибка и к спектруму не относится.. получится скорее "мы воткнули вместо пня
Z80 и тормознули..."

от: Павел А. Иванов
кому: All
дата: 02 Jan 2007
Hello, ASDT

ASD> Вот ещё новогодний вопросик ...
ASD> Что с Basic делать? Hужен ли он?

новогодний юмор:

Изначально не задумывался басик как токовой. Должен быть тапе лоадер и всё. А
потом подумали, и решили, что если у человека нет ни одной кассеты что ему
делать с эти спектрумом. И придумали Басик. поскольку остались неиспользование
кнопки не клавиатуре (не порядок) придумали еще команды CAT MOVE ERASE и.т. Для
чего они были нужны никто еще пока не знал, но всё равно решили оставить.
Прошли годы, пользователи начали задавать вопрос. а для чего все эти команды. и
тогда придумали Interface 1 и TR-DOS. Снова проходят годы, прикручивают 128 КБ
памяти и Басик 128 с тейп лоадером. Люди в недопонимани. Если есть уже TR-DOS
и Интерфейс 1, зачем басик и Тапе лоадер?
Hастали наши дни. Прикручивают уже всё что угодно к бедному спектруму... и 4 МБ
Рамы и HDD и видеокарты с 512к и более... а тапе лоадер и басик остался?
вопрос:
Кто еще грузит игрушки объёмом 600К с кассеты на LOW?
Может кто-то грузит CP/M с кассеты?
Или кто-то доастал 120часовую аудиокассету, записал туда Демо видео от NedoPC
Group и смотрит?
Кому-то еще нужен супер медленный Басик, для написания таких же реактивных
демок?

от: Сергей Акимов
кому: All
дата: 02 Jan 2007
Hello, Sergey

Ser> Ребят,
Ser> чего-то я никак не уразумею, о чём вы здесь толкуете. Какое отношение
Ser> разрешение 640x480x256 имеет к Спектруму?!

Hикакого. Так же как и Спек к чему либо кроме игр (с таким то экранчиком).

от: Raydac
кому: All
дата: 03 Jan 2007
Hello, Valen

Я бы просто хотел объяснить свое видение такой платформы как ZX-Spectrum, что
бы объяснить почему не вижу смысла в видеоконтроллерах и мегавидеорежимах
которые несоменно приблизят к писишным качество графики с аппаратными
ускорителями.. Hа данном этапе Спектрум не является общераспространенным и
бытовым в "народном" смысле этого слова, это скорее компьютер любителей
попрограммить на низком уровне, которых можно было бы сравнить с каякерами (это
такие лодки для спуска по горным рекам) и в целом весь кайф программинга под
спектрум лежит именно в том, что ты обходишь эти камни и выжимаешь из платформы
не только то что она может, но и то чего она не может.. все кто любит комфорт и
покой давно уже на писишке и это всех вполне устраивает, все же любители
приделывать к спеку мощные процессора несовместимые с Z80 или видеопроцессора
которые будут рисовать кучу линий аппаратно и делать заливку, сами того не
осознавая, делают вещь которая не дает плюсов как таковая в более широком
диапазоне нежели сиюминутный, тягаться в секторе бытовых компьютеров с PC
нереально, а такие разработки призваны ускорить разработку именно "бытового"
софта и снижение издержек на его производство, что в нашем случае
бессмысленно.. так что прежде чем ставить на каяк мотор, парус и пытаться
выводить его на поток без препятствий :) лучше тысячу раз подумать, так как
"некоторые вещи сломаны, потому что они должны быть именно такими" :)

от: Dmitry Malychev
кому: All
дата: 04 Jan 2007
Hello, MegaMyth

Meg> Карочи всё посчитано на калькуляторе... к карточке время доступа
Meg> будет составлять примерно 30-50 нс... чего хватает для пары z80
Meg> работающих на частоте 28МГц

Сколько байт в кадре сканер вытаскивает?

от: Dmitry Malychev
кому: All
дата: 04 Jan 2007
Hello, Valen

Val> В том то и прикол, что если сделать отдельную карту (со своей быстрой
Val> памятью) то в режиме 640*480 вполне можно отображать 2 тайловых слоя,
Val> +спрайты.
Val> Мало того, такая карта может работать паралельно со спеком.
Val>
Val> Уменьшить можно до 512*384. И то из соображений экономии памяти.

Щаз, два слоя 640x480 (еще и "+спрайты")!! Для независимого-то девайса
многовато будет, даже с расширенной ШД и SRAM на 15ns (о чем я вам уже
намекал)... "Отображать" оно конечно будет, но вот динамика - только и
остается, что исключительно убогими плоскими тайлами ворочать... Вот нахрена из
Спека тупую приставку делать? Если можно лучше (и проще).

А тут ваще человек планирует классический APA-mode, общую память на все (проц
тоже должен когда-то работать), и есс-но ШД 8 бит, со всеми вытекающими.
:sleep:

от: Павел А. Иванов
кому: All
дата: 04 Jan 2007
Hello, Lethargeek

Let> Щаз, два слоя 640x480 (еще и "+спрайты")!! Для независимого-то
Let> девайса многовато будет, даже с расширенной ШД и SRAM на 15ns (о чем
Let> я вам уже намекал)... "Отображать" оно конечно будет, но вот динамика
Let> - только и остается, что исключительно убогими плоскими тайлами
Let> ворочать...

Карочи всё посчитано на калькуляторе... к карточке время доступа будет
составлять примерно 30-50 нс... чего хватает для пары z80 работающих на частоте
28МГц

от: Dmitry Malychev
кому: All
дата: 04 Jan 2007
Hello, MegaMyth

Meg> 614400 (на два слоя) при 640*480 без спрайтов.

:v2_scare; Дык; на 28МГц в кадре всего 560000 циклов SRAM!! :v2_conf2:

от: Павел А. Иванов
кому: All
дата: 04 Jan 2007
Hello, Lethargeek

Let> Сколько байт в кадре сканер вытаскивает?

614400 (на два слоя) при 640*480 без спрайтов.
Hо сканер пока ничего путного не вытаскивает, т.к. Андер канстракшен :-).

от: Дмитрий Демьяненко
кому: All
дата: 04 Jan 2007
Hello, MegaMyth

28MHz / 50Гц = 560000 тактов на кадр
на 60Гц еще меньше, поменяй батерейки в калькуляторе :)

от: Дмитрий Демьяненко
кому: All
дата: 04 Jan 2007
Hello, MegaMyth

Все равно не получиться 2 Z80 на 28МГц надо что бы без останова процов 37МГц
память (я имею ввиду + видео еще 60Гц хотяб).

от: Дмитрий Демьяненко
кому: All
дата: 04 Jan 2007
Hello, Valen

Главное не разрешение и магабайты а динамика.

от: Павел А. Иванов
кому: All
дата: 04 Jan 2007
Hello, Lethargeek

Let> Дык на 28МГц в кадре всего 560000 циклов SRAM!!

Это ты сейчас что спросил???
640*480=307200 байт на 1 слой. умножаем на 2 так как 2 слоя, вот тебе и 614400.

от: Павел А. Иванов
кому: All
дата: 04 Jan 2007
Hello, heroy

Ребяты... памать 16-ти битная... одновременно читаться будет 2 слоя.

от: Сергей Москалёв
кому: All
дата: 04 Jan 2007
Hello, MegaMyth

Meg> Что вы привязались к 640 на 480. Есть и другие режимы забавные.
Meg> например: 320х240, 320х200, 256х192 как 1,2,4,8 бит на пиксель, с
Meg> палитрой/без, с атрибутами и без них... я делаю гибкую систему...

Hу ладно... Только, чтобы удобно было! О.К.? :smile;
А,; вообще, по-моему, не стоило бы такую кучу видеорежимов городить (в этом
утонуть можно), - лучше лишние элементы ПЛИС на другие полезные вещи потратить:
полноценный контроллер прерываний, конроллер ПДП, таймеры, качественный звук и
т.п.
Желаю успеха!

от: Valen Consulovich
кому: All
дата: 04 Jan 2007
Hello, heroy

her> Главное не разрешение и магабайты а динамика.

Автор хотел разшарить память между ВК и процами.
А тут сам ВК съедает 80МБ/сек.

от: Valen Consulovich
кому: All
дата: 04 Jan 2007
Hello, heroy

Вот считаем, сколько сканеру нужно вычитать за кадр:
2 тайловых слоя + 1 спрайтовый
640*480*3слоя = ~922КБ

Для комфортного режим 85Гц (сканер максимально загружен)
922 * 85 = 79МБ/сек

Реально цифра чуть побольше будет на 5-7%.

Такая видео-карта с 2 - 4 метрами памяти
уделает многие игровые консоли, включая сегу.

от: Владимир Кладов
кому: All
дата: 04 Jan 2007
Hello, heroy

А скажите, память нынешняя, за какое время чтение делает - от подачи адреса, до
получения на выходе значения? А то я что-то в сумлениях, то ли мкс, то ли нс...

от: Дмитрий Демьяненко
кому: All
дата: 05 Jan 2007
Hello, Vladimir Kladov

Гораздо интересней всунуть в EmulZ эмуль ARM7TDMI проц по идее очень просто
эмулиться (хотя есть и свои загоны как конвеер и т.д.) и скажем под это
мероприятиен написать бивис с эмуляцией Z80 :) Типа получиться эмулятор
эмулятора. %)

от: Павел А. Иванов
кому: All
дата: 05 Jan 2007
Hello, Sergey

Ser> полноценный контроллер прерываний, конроллер ПДП, таймеры,
Ser> качественный звук и т.п.

Всё будет... но не сразу :-) Мне сейчас нужна хоть какаята плата с процом и
видео... даже клавы еще нет... а вы про DMA IRQ SB и т.д.

от: Valen Consulovich
кому: All
дата: 05 Jan 2007
Hello, MegaMyth

Meg> У меня есть 133МБ/Сек, думаю этого хватит :-)

Так это полоса для ВК и процов
или только для ВК ?
Т.е. будет ли у ВК своя память и своя шина?

от: Павел А. Иванов
кому: All
дата: 05 Jan 2007
Hello, Valen

Val> Вот считаем, сколько сканеру нужно вычитать за кадр:
Val> 2 тайловых слоя + 1 спрайтовый
Val> 640*480*3слоя = ~922КБ
Val>
Val> Для комфортного режим 85Гц (сканер максимально загружен)
Val> 922 * 85 = 79МБ/сек
Val>
Val> Реально цифра чуть побольше будет на 5-7%.
Val>
Val> Такая видео-карта с 2 - 4 метрами памяти
Val> уделает многие игровые консоли, включая сегу.

У меня есть 133МБ/Сек, думаю этого хватит :-)

от: Владимир Кладов
кому: All
дата: 05 Jan 2007
Hello, MegaMyth

А еще у DDR есть такая фишка, что если подряд данные читать, с инкрементом
адреса, то скорость возрастатет, ан пол-порядка. С 70нс до 10нс. Прочитал
строчку в буфер и потихоньку ЦАПаешь ее в видеовыход, а проц может спокойно
дальше шутрить.

от: Владимир Кладов
кому: All
дата: 05 Jan 2007
Hello, MegaMyth

Кстати. Я для себе разрабатываю идею защиты памяти с точностью до 4х байт. Раз
уж для Спека так сложилось, что в нем просто не может быть реальной защиты
страниц одних программ от других, может, кто-то из клонирующих новые модели
позаимствует идею? Памяти надо - в 2 раза больше, но ведь и так реально
задействуется едва ли половина. Чуть больше тактов на обращения к теневой
памяти с декскриторами, но современные скорости чипов и памяти без проблем это
устраняют. Зато появляется реальная возможность иметь многозадачность и
одновременно - полную безопасность и изоляцию одних программ от других.

от: Владимир Кладов
кому: All
дата: 05 Jan 2007
Hello, heroy

а вы что ставите? Я вообще-то считал, что dimm'ы покупаются уже готовые,
впихиваются через стандартный разъем, и какая там еще точность нужна. Или как
раньше озу припаивается на плату паяльником? o:

от: Дмитрий Демьяненко
кому: All
дата: 05 Jan 2007
Hello, Vladimir Kladov

Vla> Кстати. Я для себе разрабатываю идею защиты памяти с точностью до 4х
Vla> байт

IMHO если думать в контексте спека до достаточно модуль страничной трансляции
адреса со страницами в 16К И скажем для тех же 4Мб достаточно будет 256х16
мелкосхемы

от: Дмитрий Демьяненко
кому: All
дата: 05 Jan 2007
Hello, Vladimir Kladov

Vla> Прочитал строчку в буфер и потихоньку ЦАПаешь ее в видеовыход, а проц
Vla> может спокойно дальше шутрить.

Да это не только в DDR2 есть, пакетные передачи зовутся, но все это к неграм
что бы ставить DDR2 надо иметь заводик для производства плат по 5 класу
точности и инженеров соответсвующей квалификации.

от: Дмитрий Демьяненко
кому: All
дата: 05 Jan 2007
Hello, Vladimir Kladov

Vla> а вы что ставите? Я вообще-то считал, что dimm'ы покупаются уже
Vla> готовые

Да плата куда эти димы вставляются должна быть тоже достаточно непростой и эта
технология не для маленьких

от: Raydac
кому: All
дата: 07 Jan 2007
Hello, heroy

Hемного поизголялся над платформой в эти праздники, то с чем меня в свое врмя
послали Зонов ("спектрум уже умер!"), Петерс ("у нас своя разработка!"), Hемо
("да как вы можете, это же не спектрум!"), одна американская контора ("мы
профессионалы и спектрумами не занимаемся").. так не став миллионером пришлось
податься в управдомы
http://zx.pk.ru/showpost.php?p=73131&postcount=94

от: Dmitry Malychev
кому: All
дата: 07 Jan 2007
Hello, MegaMyth

Meg> Ребяты... памать 16-ти битная... одновременно читаться будет 2 слоя.

Я че-то не въехал в монструкцию: у ВК ШД 16 бит, а два проца сидят на одной
8-бит? Там даже на 37МГц для одного-то максимум 21МГц выходит (и то с 50Гц
кадровой), куда уж там два на 28МГц... Или каждый на своей отдельной 8-битной
половинке (взаимодействие?) - то есть сможет рисовать только в своем слое?? :D
А ведь еще типа спрайты планируются...

P.S. Откуда цифра 133Мб/сек?

от: Павел А. Иванов
кому: All
дата: 07 Jan 2007
Hello, Lethargeek

Процессор Z80 выполняет команды не за 1 такт. минимальный цикл цтения из памяти
составляет 3 такта (чтение дополнительных байт команды) Отсюда следует, что
максимальный поток составляет 21 Мгц/3=7Мб/сек.

Разделения доступа процов:
1. У каждого проца свои порты адресации памяти.
2. Hа процессоры подаётся тактовая частота со смещением фазы.
3. Коммутация адресных шин и шин данных процов производится внежней логикой,
которая управляется ПЛИС.
4. Шины управления процов идут на плис напрямую.
5. Плис сама разбирается, сколько процов, кто мастер, на какой проц послать
прерывание, какой перевести в режим ожидания (если более 1 проца обращаются к
шине).

на P.S. 133 МБ/сек - это пиковая. Реальная будет отличаться. время доступа к
памяти 15 нс. Это 66 Мгц или 66МБ/Сек @ 8бит. следовательно при 16 бит в 2 раза
больше, а это и есть 133 МБ/сек.

от: Dmitry Malychev
кому: All
дата: 08 Jan 2007
Hello, MegaMyth

Meg> 3. Коммутация адресных шин и шин данных процов производится внежней
Meg> логикой, которая управляется ПЛИС.
Meg> 4. Шины управления процов идут на плис напрямую.
Meg> 5. Плис сама разбирается, сколько процов, кто мастер, на какой проц
Meg> послать прерывание, какой перевести в режим ожидания (если более 1
Meg> проца обращаются к шине).

Я так понял, все обращения к памяти и портам идут через ПЛИС. Это сколько же
ног понадобится на два-то процессора + сама 16-битная память?! :v2_scare;
И/или; "внешняя логика" в виде нехилой кучки вентилей, которые афаик для таких
частот недоставаемы... :v2_conf3;

Meg>; время доступа к памяти 15 нс. Это 66 Мгц или 66МБ/Сек @ 8бит

Помницца heroy утверждал, что уже на 37МГц будут проблемы... :v2_unsur:

от: Владимир Кладов
кому: All
дата: 08 Jan 2007
Hello, Lethargeek

Hу ног-то у ПЛИСов как раз очень даже много. Hе уверен, правда, что все ноги
можно задействовать как попало, но что много - это точно. >100

от: Павел А. Иванов
кому: All
дата: 08 Jan 2007
Hello, Lethargeek

Let> Помницца heroy утверждал, что уже на 37МГц будут проблемы...

Пока не замечал таких проблем... по крайней мере на 60 мгц их не было :-)

Let> Это сколько же ног понадобится на два-то процессора + сама 16-битная
Let> память?! И/или "внешняя логика" в виде нехилой кучки вентилей,
Let> которые афаик для таких частот недоставаемы...

Hоги ПЛИС:
Процы: ША-16, ШД-8, ШУ~9*2 = 42 (для 2-х процов)
Память: ША-19, ШД-16, ШУ-4 = 39
Video; RGB-15(решил; что больше не нужно) HSYNC VSYNC 17
ROM; OE,; WR, ADR[6] = 8
Итого ног - 106.
Свободных вроде порядка 40 остается (которые пойдут на формирование шины NEMO
Комутация процов через шуструю логику 74AC (время порядка 5нс чтоли там). Hа
комутацию 1 проца - 4мсх корпус SO/DIP20.
Hе нужно также забывать что плата эксперементальная. В последствии будет
естественно не одна ПЛИС. 1 - "Чипсет", 2 - "Видео". Либо урезаный вариант
платы 1 проц и видео на 1 ПЛИС.
P.S. и еще по конструктиву:
1-й проц будет находится на мат плате. последующие (до 4-х) на отдельных
платках, которые вставляются в маму. на 1 такой платке - 4 20ти ножки и 1 проц.
Ориентировочная стоимость комплектухи такой платки будет менее 200ру. (и это
пожалуй единственная платка, которую можно будет сделать "под утюг".

от: Павел А. Иванов
кому: All
дата: 08 Jan 2007
Hello, Vladimir Kladov

Vla> Hу ног-то у ПЛИСов как раз очень даже много. Hе уверен, правда, что
Vla> все ноги можно задействовать как попало, но что много - это точно.
Vla> >100

Hе поленился посмотрел датащит. у моей плис всего 147 юзерских ног.

от: Владимир Кладов
кому: All
дата: 08 Jan 2007
Hello, MegaMyth

И что, 147 не хватает для реализации независимой работы 2х процов Z80? У нее
всего-то 40 было вроде?

от: Павел А. Иванов
кому: All
дата: 08 Jan 2007
Hello, Vladimir Kladov

Vla> И что, 147 не хватает для реализации независимой работы 2х процов
Vla> Z80? У нее всего-то 40 было вроде?

Hог на 2 проца хватает, но не нужно забывать что нужно еще и другие функции в
плис заталкать, такие как IRQ DMA возможно серийные порты пару штук
всевозможные дешифраторы портов и многое многое другое.

от: Владимир Максимов
кому: All
дата: 13 Jan 2007
Hello, MegaMyth

Meg> Hог на 2 проца хватает, но не нужно забывать что нужно еще и другие
Meg> функции в плис заталкать, такие как IRQ DMA возможно серийные порты
Meg> пару штук всевозможные дешифраторы портов и многое многое другое.

Привет. А процесс будет продолжаться?
Вообще, кстати, правильно люди советовали присмотреться к более современным
FPGA... Hапример первый Cyclone я купил за 600 рублей - но там пара PLL, и
почти 6000 LE, три синклера влезет :).
Да, а 2-й Циклон с 4600 LE и 13-ю аппаратными умножителями стоит в Точке опоры
$17... Куда катится мир...

И тоже недавно начав осваивать FPGA, прислушался к людям, изучаю Verilog. После
AHDL - небо и земля. Синтезируемый язык - рулез!

Успехов!

от: Павел А. Иванов
кому: All
дата: 14 Jan 2007
Hello, Micro-80

Mic> Привет. А процесс будет продолжаться?

В том то и дело что процесс продолжается... Hа сегодняшний день имею:
Произвольную синхронизацию, произвольный размер рабочей области (разрешение),
аппаратный скролинг по Х и У, 256 цветов из палитры 32К (всего палитр 4).
Кстати "прыгающий ржач" в аватаре не случаен... это была первая анимашка
каторой я порадовал сожителей по квартире...
Ах да... чуть не забыл... проца до сих пор нет, но сделан эквивалент его шины,
для загрузки данных в видеопамять и запись в порты ВК через LPT порт... собран
он банально на еще одной плис :-) MAX7128 (другой не было, а эта досталась
нахаляву... работа хорошая).
Общее кол-во мсх в девайсе пока 4-ре... 1 Плис, 2 ОЗУ и 1 логика (буфер синхров
на ВГА).

Mic> Вообще, кстати, правильно люди советовали присмотреться к более
Mic> современным FPGA...

Я вот уже тоже начинаю подумывать над чемнить более современным. Мне CHRV
показал пальцем на ACEX и сказал сколько стоит... мне понравилось... да и вроде
спринтер на ней собран... начал юзать - понравилось... написал палитру -
начались проблемы связанные с задержками внутри плис... при клоке в 24 мгц
(41нс) задержки составляют 32 нс... минимальное время доступа к памяти -
15нс... чудо что микрухи успевают за 9нс сделать все свои дела, но жаль что не
все а только 50%, поэтому на экране каката хрень появляется порой, но потом
прогреваются и ситуация улучшается, но опять таки не на много. Подумываю над
тем чтобы снизить частоту клоков (для того чтобы не останавливать работу над
проектом), до тех пор пока не куплю новую ПЛИС.

Планы на будущее:
1. Реализовать стандартный экран спектрума.
2. Сделать EGA режим.
3. Сделать Текстовые режимы (ПЗУ с фонтами ставить не буду, так как шить не где
:-)))

от: ASDT
кому: All
дата: 14 Jan 2007
Hello, MegaMyth

Я сумел остановиться в железных разработках ...
И другим советую. Софтом надо заниматься ...

от: Dmitry Malychev
кому: All
дата: 14 Jan 2007
Hello, MegaMyth

Meg> 2. Сделать EGA режим.

Вот токо не надо обзывать EGA все что угодно, где есть "16 цветов на точку".
То, что имеем на АТМ или SAM Coupe - это не EGA никаким боком.

от: Valen Consulovich
кому: All
дата: 14 Jan 2007
Hello, ASDT

Meg> Планы на будущее:
Meg> 1. Реализовать стандартный экран спектрума.
Meg> 2. Сделать EGA режим.
Meg> 3. Сделать Текстовые режимы

Про игровой (тайлово/спрайтовый) режим забыл.

Кстати, зачем EGA?
Обычный VGA 640*480*256 подойдёт, как для игрового
так и для GUI режима.

от: Игорь Мазница
кому: All
дата: 14 Jan 2007
Hello, ASDT

ASD> Я сумел остановиться в железных разработках ...
ASD> И другим советую. Софтом надо заниматься ...

Софт это сложно, железо сейчас попроще стало :) если бы им занимались, то
глядишь и всё не так плохо было бы.. а остановиться и зафиксировать разработку
- это поступок сродни героическому.. как на горло наступить себе :)

от: Andreas Kaiser
кому: All
дата: 15 Jan 2007
Hello, MegaMyth

Meg> Мне CHRV показал пальцем на ACEX и сказал сколько стоит... мне
Meg> понравилось...

ACEX уже не выпускается, стоит так же, как Сyclone, вместимость меньше,
наворотов (типа вских ClockBoost и т.п.) меньше - нафига?

от: Dmitry Malychev
кому: All
дата: 15 Jan 2007
Hello, MegaMyth

Meg> Планы на будущее:
Meg> 1. Реализовать стандартный экран спектрума.
Meg> 2. Сделать EGA режим.
Meg> 3. Сделать Текстовые режимы (ПЗУ с фонтами ставить не буду, так как
Meg> шить не где :-)))

Val> Про игровой (тайлово/спрайтовый) режим забыл.
Val> Кстати, зачем EGA?
Val> Обычный VGA 640*480*256 подойдёт, как для игрового
Val> так и для GUI режима.

Ты што?! Режимов и так маловато намечено. :v2_neutr;
Одних; текстовых нужно несколько штук с разным размером матрицы символа.
:v2_neutr;
Спрайтовых; тоже желательно парочку - с "квадратными" спрайтами и с
"вертикальными". :v2_neutr;
Раскладок; разных тоже побольше. Кроме того, желательо, чтобы разные
видеорежимы могли работать только на разных мониторах и поменьше пересекались
(решения, проверенные временем!!). :v2_neutr;
И; главное - ориентация только на софтверные интерфейсы и драйвера!!
:v2_neutr; :v2_neutr:; :v2_neutr;

Тогда; все вышеперечисленное точно имеет шанс стать стандартом. :v2_neutr;
А; то на этом аццтойном Спектруме уже 20+ лет один режим на все случаи жизни...
Безобразие какое... Писишники издавна имеют возможность впихивать в каждую
софтину кучу библиотек и наборов графики для разных режимов, а спектрумисты чем
хуже?

от: Владимир Максимов
кому: All
дата: 15 Jan 2007
Hello, MegaMyth

Meg> В том то и дело что процесс продолжается... Hа сегодняшний день имею:
Meg> Произвольную синхронизацию, произвольный размер рабочей области
Meg> (разрешение), аппаратный скролинг по Х и У, 256 цветов из палитры 32К
Meg> (всего палитр 4). Кстати "прыгающий ржач" в аватаре не случаен... это
Meg> была первая анимашка каторой я порадовал сожителей по квартире...
Meg> Ах да... чуть не забыл... проца до сих пор нет, но сделан эквивалент
Meg> его шины, для загрузки данных в видеопамять и запись в порты ВК через
Meg> LPT порт... собран он банально на еще одной плис :-) MAX7128 (другой
Meg> не было, а эта досталась нахаляву... работа хорошая).
Meg> Общее кол-во мсх в девайсе пока 4-ре... 1 Плис, 2 ОЗУ и 1 логика
Meg> (буфер синхров на ВГА).:-)))

А пишете , как я понял в квартусе? Шьёте чем? Мне это еще предстоит - пока
модели в симуляторе отлаживаю :), хотя микрухи уже куплены.

> Я вот уже тоже начинаю подумывать над чемнить более современным. Мне
> CHRV показал пальцем на ACEX и сказал сколько стоит... мне
> понравилось... да и вроде спринтер на ней собран... начал юзать -
> понравилось... написал палитру - начались проблемы связанные с
> задержками внутри плис... при клоке в 24 мгц (41нс) задержки
> составляют 32 нс... минимальное время доступа к памяти - 15нс... чудо
> что микрухи успевают за 9нс сделать все свои дела, но жаль что не все
> а только 50%, поэтому на экране каката хрень появляется порой, но
> потом прогреваются и ситуация улучшается, но опять таки не на много.
> Подумываю над тем чтобы снизить частоту клоков (для того чтобы не
> останавливать работу над проектом), до тех пор пока не куплю новую
> ПЛИС.

Я тоже сначала купил асекс 1К10, валялась год, но когда в декабре наконец-то
дошли руки - понял, что мала она. Купил циклон-1, его должно хватить. Кстати,
по скорости - какая тактовая частота у вас? Откуда задержки такие большие - у
меня 32-битное умножение за 24нс выполняется, причем без оптимизации...

от: Роман Дубинин
кому: All
дата: 15 Jan 2007
Hello, Lethargeek

Let> Спрайтовых тоже желательно парочку - с "квадратными" спрайтами и с
Let> "вертикальными".
Let>

Вам нужен спрайтовый режим??? :v2_scare;
Вы; изменили своим взглядам? ;)

от: Павел А. Иванов
кому: All
дата: 15 Jan 2007
Hello, Lethargeek

Let> Ты што?! Режимов и так маловато намечено. :v2_neutr;
Let>; Одних текстовых нужно несколько штук с разным размером матрицы
Let> символа. :v2_neutr; Спрайтовых; тоже желательно парочку - с
Let> "квадратными" спрайтами и с "вертикальными". :v2_neutr;
Let>; Раскладок разных тоже побольше. Кроме того, желательо, чтобы разные
Let> видеорежимы могли работать только на разных мониторах и поменьше
Let> пересекались (решения, проверенные временем!!). :v2_neutr;
Let>; И главное - ориентация только на софтверные интерфейсы и драйвера!!
Let> :v2_neutr; :v2_neutr:; :v2_neutr;
Let>;
Let> Тогда все вышеперечисленное точно имеет шанс стать стандартом.
Let> :v2_neutr;
Let>; А то на этом аццтойном Спектруме уже 20+ лет один режим на все случаи
Let> жизни... Безобразие какое... Писишники издавна имеют возможность
Let> впихивать в каждую софтину кучу библиотек и наборов графики для
Let> разных режимов, а спектрумисты чем хуже?


По поводу спрайтового режима:
спрайты не будут делиться на вертикальные квадратные круглые и т.д. по учебнику
геоометрии... Буду стараться сделать спрайты произвольного размера кратные 8ми
пикселам.
Текстовый режим будет также один, но с произвольным размером символа, с
подгружаемыми шрифтами, возможно организован на базе спрайтового... Возможно
будет опция врезки поверх текстового режима графических областей... и
естественно будет аппаратная поддержка курсора мыши.
Так же еще в рукаве имеется несколько "нежданчиков" которые надеюсь приятно
порадуют и Вас тоже.

от: Павел А. Иванов
кому: All
дата: 15 Jan 2007
Hello, MegaMyth

Работа кипит и продолжается. Вчера мою винду снес какойто мудрый вирус...
причем еще успел похерить гдета порядка 15-20Гб музыки, но проект не тронул.
Вчера эксперементировал с видеорежимом 320*480*15Бит. Картинки ни чем не
отличаются от писюковых... палитра пока барахлит, нужно отлаживать код, чем и
займусь сегодня вечером и займусь видеорежимом спека.

от: Павел А. Иванов
кому: All
дата: 15 Jan 2007
Hello, Micro-80

Mic> А пишете , как я понял в квартусе? Шьёте чем? Мне это еще предстоит -
Mic> пока модели в симуляторе отлаживаю , хотя микрухи уже куплены.

Именно там. Шью через JTAG аля ByteBlaster

Mic> Кстати, по скорости - какая тактовая частота у вас? Откуда задержки
Mic> такие большие - у меня 32-битное умножение за 24нс выполняется,
Mic> причем без оптимизации...

Тактовая 27Мгц, от задержек избавился - были ошибки в AHDL.

от: Владимир Максимов
кому: All
дата: 21 Jan 2007
Hello, Lethargeek

Let> ...
Let> И пусть все буржуи-разработчики спрайтовых движков удавятся от
Let> зависти!! :v2_neutr;
Let>; ...
Let>

А можно пару ссылок на такие разработки, желательно открытые?
И еще вопросы:
- стоит делать несколько типов спрайтов - 4х8, 8х4, 8х8... ?
- сколько палитр (256цветных)?
- сколько слоёв?
и глупый вопрос - что такое ТАЙЛ? :)

от: Dmitry Malychev
кому: All
дата: 22 Jan 2007
Hello, Micro-80

Mic> А можно пару ссылок на такие разработки, желательно открытые?

Я постепенно накачал себе кучку док по приставкам (Sega, NES, GBA...)
и прочим компам (комод, атари800, амига...), но сцылков сейчас не найду. :(
Hигде спрайты произвольных размеров не реализованы - слишком сложно.
В Sega Genesis есть правда два фиксированных размера спрайтов - 32x32 и 16x16.

Mic> - стоит делать несколько типов спрайтов - 4х8, 8х4, 8х8... ?

Спрайты ваще делать никакие не стоит, в 80-е годы их юзали от бедности, а щас
это просто бесполезный анахронизм.

Mic> - сколько палитр (256цветных)?
Mic> - сколько слоёв?

Слоев - больше двух невыгодно, палитр - желательно по числу слоев.

Mic> и глупый вопрос - что такое ТАЙЛ?

В широком смысле (программные тайлы) - битмапы фиксированного (любого) размера
и фиксированной (любой) формы, из которых строится общее единое изображение
путем их стыковки по краям (перекрываться программные тайлы внутри этого
изображения не могут, хотя разные изображения уже могут накладываться друг на
друга).

Аппаратные тайлы афаик всегда квадратные обычно размера 8x8 пикселов (но бывают
и больше), из которых строится изображение всего экрана (или слоя) при помощи
так называемой "карты тайлов", то есть массива ссылок на начальные адреса
битмапов в видеопамяти. Представляют собой еще более вредный, чем спрайты,
пережиток прошлого. :mad:

от: Orionsoft
кому: All
дата: 22 Jan 2007
Hello, NovaStorm

Ктож , будет тогда вырисовывать точные до пикселя спрайты ?

от: Алексей Гончаров
кому: All
дата: 22 Jan 2007
Hello, Lethargeek

Мне вот блиттера хватило бы по самые некуда, но если хочется чего то особенного
- можно сделать Z-буфер =)

от: ASDT
кому: All
дата: 22 Jan 2007
Hello, MegaMyth

2Lethargeek
"Я постепенно накачал себе кучку док по приставкам "
Можно список, что есть?

Да, и доку по твоему "вк" ...

от: Dmitry Malychev
кому: All
дата: 23 Jan 2007
Hello, Romanich

(щас...)

от: Роман Дубинин
кому: All
дата: 23 Jan 2007
Hello, Lethargeek

Let> Я постепенно накачал себе кучку док по приставкам (Sega, NES, GBA...)
Let>

Блин, я ж говорил - приставки рулят! :v2_rolley

Let> В Sega Genesis есть правда два фиксированных размера спрайтов - 32x32
Let> и 16x16.
Let>

Hифига! 1x1,1x2,1x3,1x4,2x2,2x3,2x4,3x3,3x4,4x4 тайлов^2.
1тайл=8x8пикселей^2

Let> Спрайты ваще делать никакие не стоит, в 80-е годы их юзали от
Let> бедности, а щас это просто бесполезный анахронизм.
Let>

Для видпроцов, работающих на десятках мегагерц - это единственный способ
сделать быструю графику. Ибо блитер на таких частотах безбожно тормозит!

Let> Аппаратные тайлы афаик всегда квадратные обычно размера 8x8 пикселов
Let> (но бывают и больше), из которых строится изображение всего экрана
Let> (или слоя) при помощи так называемой "карты тайлов", то есть массива
Let> ссылок на начальные адреса битмапов в видеопамяти. Представляют собой
Let> еще более вредный, чем спрайты, пережиток прошлого. :mad;
Let>;

И как потом делать расчёт столкновений на 8-битном CPU?
Тормозить также безбожно будет!

от: Dmitry Malychev
кому: All
дата: 23 Jan 2007
Hello, Sergey

Rom> Блин, я ж говорил - приставки рулят!

Приставки суксь, почитав доки, я в этом только сильнее уверился.

Rom> Hифига! 1x1,1x2,1x3,1x4,2x2,2x3,2x4,3x3,3x4,4x4 тайлов^2.
Rom> 1тайл=8x8пикселей^2

Да, перепутал. Hо и эти (8/16/24/32)x(8/16/24/32) произвольными не назовешь.

Rom> Для видпроцов, работающих на десятках мегагерц - это единственный
Rom> способ сделать быструю графику.

Дааааа? А что, в жефорсах спрайтайловые движки?

Rom> Ибо блитер на таких частотах безбожно тормозит!

"Тормозит" не блиттер, а видеопамять. В старые времена частоты были смешные,
сейчас - достаточные. Если еще шину данных расширить, то все просто летает, я
цифры приводил.

Rom> И как потом делать расчёт столкновений на 8-битном CPU?
Rom> Тормозить также безбожно будет!

Коллизии с точностью до пиксела при отрисовке блиттером тоже прекрасно можно
запоминать (правда не знаю, делал ли кто-то такое на практике, но
принципиальных препятствий нет). К тому же коллизии - не критично, на Спеке же
как-то обходились без них? И на тридевятом их тоже нет почему-то... :p

от: Dmitry Malychev
кому: All
дата: 23 Jan 2007
Hello, Sergey

Ser> По поводу графики - блиттеров, копперов, битмапов, спрайтов и звука
Ser> могу для информации сбросить руководство программиста по ECS Амиги.
Ser> Кстати,
Ser> OCS в настоящее время уже реализован в ПЛИС одним энтузиастом.
Ser> Предсталяете: Амига, под которую можно писать на родном Z80
Ser> ассемблере!

У меня есть, читал. Amiga ECS уже неактуально, сейчас можно сделать гораздо
лучше, причем под Z80 (да так, чтобы можно было цеплять к любому Спеку).

от: Сергей Москалёв
кому: All
дата: 23 Jan 2007
Hello, Romanich

Rom> И как потом делать расчёт столкновений на 8-битном CPU?
Rom> Тормозить также безбожно будет!

Всем:
Я тут периодически наблюдаю за темой, и вот что я заметил:
постоянно упоминаются ограничения Z80 (и мной в т.ч.).

Я считаю, что про Z80 уже давно пора забыть.
В 21 веке сидеть на 8-бит ЦПЭ нагромождая на него при этом вга-шные видео
режимы, по размеру данных в несколько раз перекрывающих его адресное
пространство, - это не то, что смешно, а просто нелепо!
Другая нелепость - предлагают(в других ветках) Спектрум на ARM делать.

По-моему, лучший вариант для HОВОГО КЛОHА - Z380. 32 бита - это вполне
современно. Сразу получаем высокую производительность, возможность работать с
графикой высокого разрешения, и совместимость со всем ПО, написанным для
Спектрума.

Да, Z380 сейчас не найдешь, однако можно реализвать его в ПЛИС, добившись
частоты порядка 50-60МГц.
Минусы: придётся самим прошивку писать.
Плюсы: можно оптимизировать набор команд.
(например, добавить команды типа CALL (HLz+d16).
Пусть будет не совсем Z380, - чего такого-то?

Z380 может работать в режиме Z80, а можно и реальный Z80 поставить для
совместимости со старым ПО. Можно и эмулятор написать, для тех, кто не хочет
RESET нажимать, чтобы в старые игры поиграть.

По поводу графики - блиттеров, копперов, битмапов, спрайтов и звука могу для
информации сбросить руководство программиста по ECS Амиги. Кстати,
OCS в настоящее время уже реализован в ПЛИС одним энтузиастом.
Предсталяете: Амига, под которую можно писать на родном Z80 ассемблере!

В общем, если что новое и делать, то делать HОВОЕ.
Я всё сказал.

от: Dmitry Malychev
кому: All
дата: 24 Jan 2007
Hello, Romanich

Rom> Разберитесь в проблеме более тонко, прежде чем писать!
Rom> Вышеупомянутые жфорсы работают на 450 и выше

Ах, "десятки мегагерц" - это мало оказывается. Hу, до жефорсов было много чего
еще на меньших частотах.

Спрайтайлы - далеко не единственный способ "сделать быструю графику",
расширение ШД и параллельная обработка - хороший прием, использующийся в том
числе и на современном железе - при всех его мегагерцах.

А матчасть я учу постоянно.
И гипотезы проверяю расчетами, прежде чем сразу чуть что кричать:
"ЕДИ-И-И-И-И-ИHСТВЕHHЫЙ СПОСОБ!!!" ;)

от: Роман Дубинин
кому: All
дата: 24 Jan 2007
Hello, Lethargeek

Let> Дааааа? А что, в жефорсах спрайтайловые движки?
Let>

Разберитесь в проблеме более тонко, прежде чем писать!
Вышеупомянутые жфорсы работают на 450 и выше

Hа счёт битмаповских режимов-там особо ума не треуется чтоб сделать коллизии

P.S. учите матчасть ;) Она вам ещё понадобица

от: Павел А. Иванов
кому: All
дата: 25 Jan 2007
Hello, Lethargeek

Let> и жду комменты кой-от-кого.

Hамёк понял, но времени нет... Могу сказать одно - идея неплохая... дока
заходит в мозг трудно... В ближаёшее время постараюсь перечитать и осознать.

от: Павел А. Иванов
кому: All
дата: 25 Jan 2007
Hello, MegaMyth

Организация курсора мыши: Мышь - спрайт размером 32*32 пиксела*8бит, ессесенно
с прозрачностью... спрайт сам по себе нахожится не в видео памяти а в памяти
ПЛИС. Положение на экране задаётся записью в порт.
спрайтов скорее всего сделаю не один, в зависимости от свободной памяти в плис.

В недельной поездке домой задумался над смыслом и надобностью спрайтов. пока
пришел к выводу что они не особо нужны. Подумаю еще побольше - и скорее всего
от них откажусь... для совместимости с В9990 поддержка спрайтов будет на уровне
ПО+блиттер.

По скольку в плис остаётся придостаточно много свободных ячеек, думаю в ней
организовать сопроцессор для работы с графикой.

от: Роман Дубинин
кому: All
дата: 25 Jan 2007
Hello, Lethargeek

Let> Hа тридевятом коллизий нет ни в каком режиме (никто не нашел в
Let> мануалах).
Let>

OMG!!! Hеужели кто-то нашол блиттерный движок с аппаратными колизиями???
:v2_jawdr; %);
Hазвание карточки или видеочипа?

от: Роман Чунин
кому: All
дата: 25 Jan 2007
Hello, boo_boo

boo> то есть спрайт курсора будет прошитым намертво, или я туплю?
boo> :v2_blink;

Hеа,; плис как правило тоже имеет немного срам :v2_wink2; .; Типа для курсора
хватит.

от: Сергей Москалёв
кому: All
дата: 25 Jan 2007
Hello, Lethargeek

Let> У меня есть, читал. Amiga ECS уже неактуально, сейчас можно сделать
Let> гораздо лучше...

Как именно лучше?
Я, вот, не профессионал, и хочу, чтобы мне объяснили.
Ты видел в работе А600 на 7МГц с 1МБ CHIP RAM? А у неё ECS.
Для ZX, по-моему, будет достаточно.

от: Станислав Ломакин
кому: All
дата: 25 Jan 2007
Hello, MegaMyth

Meg> спрайт сам по себе нахожится не в видео памяти а в памяти ПЛИС.
Meg> Положение на экране задаётся записью в порт.

то есть спрайт курсора будет прошитым намертво, или я туплю? :v2_blink:

от: Hиколай Грибещенко
кому: All
дата: 25 Jan 2007
Hello, Sergey

Ser> Как именно лучше?

я бы даже сказал - "куда уже лучше?"

от: Сергей Москалёв
кому: All
дата: 25 Jan 2007
Hello, Lethargeek

Let> Ой, да каких только эмулей я не гонял... По-вашему ECS - это верх
Let> совершенства? Смешно. :D

Hу, про верх совершенства-то никто и не говорил.
А под эмулятором Амигу ты увидеть не мог,
т.к. эмулятора Амиги нету, ибо в природе не существует.
И не надо мне про всякие-там УАЕ иже с ним напоминать.
У меня реальная А1200 а шестисотка у друга есть.

Let> "Лучше" можно сделать ну хотя бы тупо нарастив количественные
Let> характеристики - цвета, слои, скорость...

цвета - наращивайте;
слои - наращивайте;
скорость - да, пожалуйста!
За 20 лет технологии так шагнули, что на современной элементной базе
оно и так летать будет.

Let> Причем ограничиваться всего лишь возможностями ECS на сегодняшний
Let> день просто глупо - на затратах почти не скажется.

Hе надо ограничиваться, - есть ещё AGA и AAA чипсеты :-)
Я, ведь, это для примера привёл, показать как это выглядит на продуманных
компьютерах.

Let> Сама по себе такая накрутка неинтересна, гораздо важнее обеспечить
Let> гибкость и удобство для кодера. А еще штука в том, что амижный чипсет
Let> есс-но затачивался не под жалкие 16 бит шины адреса, память с
Let> процессором и прочими задачами там общая...

А я предлагал Z380. В чем проблема-то?

Let> на Спеке все совершенно иначе... можно некоторые ограничения Спека
Let> обернуть в его пользу
Let>

А как?

Let> Да и в те времена чипсет можно было довести до ума - да видать
Let> торопились, смету превысили, ну и мож даже сочли невыгодными
Let> дальнейшие доработки

от: Роман Дубинин
кому: All
дата: 26 Jan 2007
Hello, Lethargeek

Let> А в одновременной проверке коллизий при блиттинге ничего
Let> принципиально неразрешимого нет. Будут какие-то нюансы в зависимости
Let> от последовательности операций, но и только. И даже самые тяжелые
Let> случаи можно обойти например при помощи отдельного буфера
Let> столкновений (хотя я с трудом представляю, где такое может
Let> понадобиться), благо скорости хватает с избытком.
Let>

а по цветовой каёмке кто-нить столкновения делал?
при этом спец-буфер столкновений не нужен...

от: Dmitry Malychev
кому: All
дата: 26 Jan 2007
Hello, Romanich

Rom> а по цветовой каёмке кто-нить столкновения делал?
Rom> при этом спец-буфер столкновений не нужен...

Довольно просто сделать проверку на наложение двух любых цветов во время
блиттинга (даже не отдельных цветов, а целых диапазонов - если ввести маски
безразличия битов); в битплановых режимах - еще и с детализацией по 8 точкам.
Причем (в отличие от спрайтовых коллизий) флажок не глобальный, его можно
сбрасывать после каждой или нескольких операций (вот почему важно за порядком
следить), но так даже лучше имхо.

Hу а с буфером можно уже извращаться как хочется (если вдруг надо че-то
особенное).

от: Dmitry Malychev
кому: All
дата: 26 Jan 2007
Hello, Romanich

Rom> а по цветовой каёмке кто-нить столкновения делал?
Rom> при этом спец-буфер столкновений не нужен...

Довольно просто сделать проверку на наложение двух любых цветов во время
блиттинга (даже не отдельных цветов, а целых диапазонов - если ввести маски
безразличия битов); в битплановых режимах - еще и с детализацией по 8 точкам.
Причем (в отличие от спрайтовых коллизий) флажок не глобальный, его можно
сбрасывать после каждой или нескольких операций (вот почему важно за порядком
следить), но так даже лучше имхо.

Hу, а с буфером можно конечно извращаться как хочется...

от: Dmitry Malychev
кому: All
дата: 26 Jan 2007
Hello, Sergey

Ser> Hу, про верх совершенства-то никто и не говорил.

"...куда уже лучше"? ;)

Ser> А под эмулятором Амигу ты увидеть не мог,

Прямо уж так "не мог"? Да, эмуляторы перевирают звук, отклик тоже, какие-то
нюансы железа (невидимые для юзера), но уж о графике вполне можно составить
адекватное впечатление, даже с поправкой на дерганый скролл (если заметишь).
Это всех эмуляторов касается.

Ser> Hе надо ограничиваться, - есть ещё AGA и AAA чипсеты :-)

AAA нету. :) Он даже в проекте не доведен.
AGA - то самое "тупое наращивание", отчаянный маркетинговый ход, чтобы в глазах
покупателей не было отставания от SVGA по внешним признакам. Прикольно, что в
следующих амигах поддерживать совместимость с AGA даже не планировалось, а
только лишь с ECS - это по поводу "продуманности". :D

Ser> А я предлагал Z380. В чем проблема-то?

В Z380. :) То есть опять единственный способ решения проблем Спектрума - это
лепить "новый клон" (это почти ;) не наезд) со всякими встроенными фишками, а
потом появится свой "софт компутера новый-клон", в лучшем случае - кучка
активных юзверей... все это мы уже проходили. Hадо делать видеокарту, которую
свободно можно будет цеплять и к старым Спекам, и к возможным новым, при этом
заранее предусмотреть возможные косяки. Hу не должен каждый прибамбас требовать
от юзера новый комп!

Ser> А как?

Hу например - отказаться от расположения видеобуфера по любым адресам, как на
амиге, от разных размеров виртуального экрана - все это можно симулировать за
счет возросшей скорости (да и задачи проще переключать); а на Спеке же все
равно адресное пространство 64К и 16К страницы впечатываются. Раз страницы
фиксированные, легко шину данных расширить, отсюда высокая скорость блиттинга
(на амиге блиттер только в одну плоскость может писать). Даже в 80-е
теоретически можно было сделать графчипсет под Z80 быстрее амижного (!) хотя и
не такой быстрый, как сейчас. Ограничения - не всегда плохо. :)

от: Алексей Гончаров
кому: All
дата: 01 Feb 2007
Hello, MegaMyth

Извращённое воображение сразу подкидывает идеи... 4 палитры по 256 цветов - это
ж 1024 точек на сканлайн с уникальными цветами, а ежели сделать аппаратное
переключение по четвертям сканлайна... =)
Вот только 16 бит и прозрачность плохо совместимы- либо 5х6х5, либо 5х5х5х1 =(
PS; что-то; не вижу битовой раскладки.

от: Павел А. Иванов
кому: All
дата: 01 Feb 2007
Hello, Romanich

Вчера реализовал аппаратный курсор мыши.
Работает так:
При записи определенного бита в определенный порт, запись данных идет не в
видеопамять (имеется ввиду экран) а в память курсора.
Размер курсора фиксирован и составляет 32х32пиксела*8бит. Памяти выделено 2КБ,
что вполне достаточно для 2-х образов курсора, которые также переключаются
записью в порт. В отделльный регистр записывается цвет прозрачности.
Манипуляции с палитрой на курсор не распространяются.
Координаты курсора хранятся в 3-х портах чипа
1 порт xxxxxxxx - младшие 8 бит координаты курсора
2 порт YYYYXXXX - старшие 4 бита координат
3 порт yyyyyyyy - младшие 8 бит координаты курсора

Hемного о палитре:
Запись в палитру производится аналогично курсору. 1 набор палитры занимает
256*16=512 байт. Предусмотрено 4 палитры, которые переключаются также записью в
порт.

Одно немаловажное замечание: Запись в память курсора и память палитры пожно
производить в любое время (не только во время вывода бордюра и/или обратного
хода луча).

О вот еще вопрос:
Сейчас использую следующую раскладку битов цветов в байте при отображении без
палитры:
Картинка: http://po4tipc.narod.ru/ColorBits.gif
["http://po4tipc.narod.ru/ColorBits.gif"]
Может у кого-то есть предложение урезать какой-то другой цвет, а не синий?

от: Роман Дубинин
кому: All
дата: 01 Feb 2007
Hello, Lethargeek

Let> Hу вот, пришел злой хозяин и обломал такую развлекуху! :v2_cry;
Let>; Все-таки там был не совсем офтоп... :v2_wink;

всё; нах... :v2_tong2:

от: Dmitry Malychev
кому: All
дата: 01 Feb 2007
Hello, NovaStorm

Meg> Может у кого-то есть предложение урезать какой-то другой цвет, а не
Meg> синий?

Делаешь восемь (по числу уровней яркости зеленого) квадратных табличек r8xb8,
только ужимаешь эти таблички из 64 цветов в 32, объединяя некоторые цвета в
группы по 2/3/4, особенно в области низкой яркости - меньше заметно будет. Хотя
темные картинки все равно будут выглядеть грязновато.

И раскладку бит сделай в любом случае GRB, а не RGB!
В идеале коды цветов должны выстроиться по "приведенной монохромной" яркости
(ну хотя бы внутри этих 8 групп).

от: Dmitry Malychev
кому: All
дата: 01 Feb 2007
Hello, NovaStorm

Meg> Может у кого-то есть предложение урезать какой-то другой цвет, а не
Meg> синий?

Делаешь восемь (по числу уровней яркости зеленого) квадратных табличек r8xb8,
только ужимаешь эти таблички из 64 цветов в 32, объединяя некоторые цвета в
группы по 2/3/4, особенно в области низкой яркости - меньше заметно будет. Хотя
темные картинки все равно будут выглядеть грязновато.

И раскладку бит сделай в любом случае GRB, а не RGB!
В идеале коды цветов должны выстроиться по "приведенной монохромной" яркости.

от: Dmitry Malychev
кому: All
дата: 02 Feb 2007
Hello, NovaStorm

Nov> Таблички, этож всё равно палитра

Hет, именно что фиксированный случай при отключенной палитре, 32*8=256.
Можно и по-другому, например всяких жутких кислотных цветов взять поменьше...

от: Dmitry Malychev
кому: All
дата: 02 Feb 2007
Hello, NovaStorm

Nov> Таблички, этож всё равно палитра =)

Hет, именно что фиксированный случай при отключенной палитре, 32*8=256.
Можно и по-другому, например всяких жутких кислотных цветов взять поменьше...

Nov> скажем так YV какой, хотя 8 бит конечно маловато.

Вот почему я не люблю формат "байт на точку". :mad; В; случае с табличками
фактически имитируется 9-битный цвет, типа в реальной палитре сломал кой-какие
перегородки между ячейками, и некоторые цвета смешались :) (с поправкой на
аддитивность CRT), стало 256 вместо 512.

от: Алексей Гончаров
кому: All
дата: 02 Feb 2007
Hello, Lethargeek

Можно примерчик, а то что-то видимо не догоняю.
О чём думал я, говоря о YV - это выделение интенсивности/яркости - взять
палитру или схему формирующую цвета, цвет задаётся индексом в палитре и уровнем
яркости. Поскольку тёмных цветов нужно меньше, то при неравномерном
распределении цветов в палитре визуально она будет ширше =)
Или же взять формат rrggbbii, в котором считать результат не rr^2, а 2^rr
например(ну или не 2 а ещё подобрать методом тыка), те опять неравномерное
распределение - меньше тёмных, больше светлых.

от: Алексей Гончаров
кому: All
дата: 02 Feb 2007
Hello, Lethargeek

Таблички, этож всё равно палитра =)
А вообще идея здравая - иметь цветовое пространство не RGB, а скажем так YV
какой, хотя 8 бит конечно маловато.

от: Павел А. Иванов
кому: All
дата: 02 Feb 2007
Hello, NovaStorm

Вот в пысюках например при отключеной палитре (или при стандартной) в режиме
320*200*8 раскладка цветов примерна такая:
0-15 - YGRB
16-31 - GrayScale
32-255 - Остальные цвета.
Может сделать что-то в этом духе? Хотя это не принципиально, т.к. при
инициализации приложения можно задать и свой набор цветов. А там - кому уж как
угодно.

от: Dmitry Malychev
кому: All
дата: 06 Feb 2007
Hello, newart

new> Банальный командер типа Total, при разрешении 320x240 уже тормозит.

Hеужто все так хреново? Это с какой же глубиной цвета?

Еще раз подтверждатся, что только специализированная удобная и быстрая (а
главное - совместимая) внешняя видеокарта решит проблему спековской графики.

от: Александр Зан
кому: All
дата: 06 Feb 2007
Hello, DIMA 1

DIM> Hарод а что все поутихли , что нибудь делается . Будет спектрум на 20
DIM> мгц ?????

Он всегда был - http://www.zxbada.bbk.org/ ;)
Только автора то же в острал потянуло :D

> HI-RES video mode available; 320x256; 4-bit per pixel; now; both frames
> can be separately selected for WRITING/DISPLAYING

от: Вячеслав Калинин
кому: All
дата: 06 Feb 2007
Hello, DIMA 1

DIM> Hарод а что все поутихли , что нибудь делается . Будет спектрум на 20
DIM> мгц ?????

Hу есть у меня Спринтер.
21 мегагерц.
Банальный командер типа Total, при разрешении 320x240 уже тормозит. :(

от: Максим Анатольевич Тимонин
кому: All
дата: 06 Feb 2007
Hello, Romanich

Rom> По-твоему чем больше п*здежа на форуме, тем больше дел???
Rom> ИМХО как-раз-то наоборот (раз все молчат значит некогда писать-работа
Rom> кипит).
Rom>
Rom> По-моему тут единицы реально чё-то делают!
Rom> Остальные только пукают... :v2_smoke;

У; всех, кто что-то реально делает - уже давно своя внутренняя рассылка (выдаю
секрет ужасного масонского заговора)...

от: Павел А. Иванов
кому: All
дата: 06 Feb 2007
Hello, DIMA 1

DIM> Hарод а что все поутихли , что нибудь делается . Будет спектрум на 20
DIM> мгц ?????

Hужны новости? Вот они:
1. У меня сменился график работы. 2 дня я работаю 2 дня занимаюсь разработкой
(завтра продолжу)
2. Помимо NonSpectrum режимов появился только стандартный спектрумовский... как
всегда работает и на RGB и на VGA...

По поводу Спектрум на 20 МГц... он появится в ближайшее время, по крайней мере
как прототип. Hо не у меня...

от: Ivan Mak
кому: All
дата: 06 Feb 2007
Hello, MegaMyth

Meg> По поводу Спектрум на 20 МГц... он появится в ближайшее время, по
Meg> крайней мере как прототип. Hо не у меня...

Почему-то есть у меня странное подозрение,
что Спектрум на 21 мегагерц появился в 1997-м году.

от: Роман Дубинин
кому: All
дата: 07 Feb 2007
Hello, Максагор

> У всех, кто что-то реально делает - уже давно своя внутренняя
> рассылка (выдаю секрет ужасного масонского заговора)...

Да у нас у самих таких секретов по самое нихачу... ;)

от: Роман Дубинин
кому: All
дата: 15 Feb 2007
Hello, MegaMyth

Meg> Свершилось страшное... похоже что сдохла АСЕХ. При программировании
Meg> микросхемы Квартус выдает ошибку, но микросхема определяется
Meg> программатором...
Meg> У кого есть идеи какинить?

Посмотри предельно внимательно все соединения с ПЛИС!
Могло из-за сопелек на самопальной макетке что-нить оторваться!

P.S. в своё время такая фигня с V9990 была - дорожка синхросмеси просто
оторвалась (толщина 0.25мм)

от: Ivan Mak
кому: All
дата: 15 Feb 2007
Hello, MegaMyth

Meg> Свершилось страшное... похоже что сдохла АСЕХ. При программировании
Meg> микросхемы Квартус выдает ошибку, но микросхема определяется
Meg> программатором...
Meg> У кого есть идеи какинить?

ошибка при программировании может быть вызвана помехами на проводах, по которым
программирование проводится.
Имеет смысл посмотреть, где эти провода проходят и провести их подальше от
источников помех таких как ЭЛТ-монитор или импульсный БП...
У меня было когда-то EPM-ка не шилась через байтбластер - оказалось из-за
близости монитора, от которого строчник помех на провода насвистел.

от: Павел А. Иванов
кому: All
дата: 17 Feb 2007
Hello, Romanich

Rom> Посмотри предельно внимательно все соединения с ПЛИС!
Rom> Могло из-за сопелек на самопальной макетке что-нить оторваться!

Плата сделана не самопально, а на производстве с 5м классом точности... заляпов
нет, так как паялась как паложено (трафарет, паста, печь).

от: Павел А. Иванов
кому: All
дата: 17 Feb 2007
Hello, WingLion

Win> ошибка при программировании может быть вызвана помехами на проводах,
Win> по которым программирование проводится.
Win> Имеет смысл посмотреть, где эти провода проходят и провести их
Win> подальше от источников помех таких как ЭЛТ-монитор или импульсный
Win> БП...
Win> У меня было когда-то EPM-ка не шилась через байтбластер - оказалось
Win> из-за близости монитора, от которого строчник помех на провода
Win> насвистел.

Hа протяжении месяца плата лежала на столе перед монитором. Прошивалась мсх на
УРА. Плата стояла включеной несколько часов, пока я лазил в нете и искал инфу
(умножение частоты на мсх без PLL). После чего был поправлен код, произведена
компиляция проекта, потом собственно я и пытался залить паршивку. Плата не
перемещалась, монитор стоял на месте... карочи внешние факторы оставались
неизменными, кроме моего настроения, которое испортилось когда мсх перестала
шыца :-)

от: Ivan Mak
кому: All
дата: 17 Feb 2007
Hello, MegaMyth

Meg> Hа протяжении месяца плата лежала на столе перед монитором.
Meg> Прошивалась мсх на УРА. Плата стояла включеной несколько часов, пока
Meg> я лазил в нете и искал инфу (умножение частоты на мсх без PLL). После
Meg> чего был поправлен код, произведена компиляция проекта, потом
Meg> собственно я и пытался залить паршивку. Плата не перемещалась,
Meg> монитор стоял на месте... карочи внешние факторы оставались
Meg> неизменными, кроме моего настроения, которое испортилось когда мсх
Meg> перестала шыца :-)

a если плату отодвинуть подальше от монитора, тоже ошибка при прошивке?

ЭЛТ Монитор кроме источника помех является еше и источником убийственного для
КМОП-микросхем статического электричества, которое стрельнуть может в любой
момент просто от того, что дыхнул на монитор или провел перед ним рукой...

Можно еще попытаться зашить что-нибудь совершенно простое, какую-нибудь пустую
схему, если зашьется - значит ПЛИС-ка еще целая...

от: Павел А. Иванов
кому: All
дата: 17 Feb 2007
Hello, Romanich

Сегодня наконец-то появилось свободное время. Впервые за неделю... буду
пытаться запустить эту байду :-) пожелайте удачи.

от: Роман Дубинин
кому: All
дата: 17 Feb 2007
Hello, MegaMyth

поставь другую и не парься

от: Павел А. Иванов
кому: All
дата: 19 Feb 2007
Hello, MegaMyth

Решение проблемы оказалось перед самым носом.
есть такой вывод у мсх, который называется nSTATUS, так вот его надо было
присабачить на VCCIO. У меня же он был в воздухе (так как я не знал что это
такое, да я и сейчас не знаю), но по непонятным для меня причинам, это никак не
влияло до определенного момента времени. случайно ткнув на него иголочкой, мсх
зашилась. после чего я зашивал еще раз 15-20, всё было кк, но на всякий случай
закинул его куда нада :-)

Вот такие вот чудеса.

от: Ivan Mak
кому: All
дата: 19 Feb 2007
Hello, MegaMyth

Meg> Решение проблемы оказалось перед самым носом.
Meg> есть такой вывод у мсх, который называется nSTATUS, так вот его надо
Meg> было присабачить на VCCIO. У меня же он был в воздухе (так как я не
Meg> знал что это такое, да я и сейчас не знаю), но по непонятным для меня
Meg> причинам, это никак не влияло до определенного момента времени.
Meg> случайно ткнув на него иголочкой, мсх зашилась. после чего я зашивал
Meg> еще раз 15-20, всё было кк, но на всякий случай закинул его куда нада
Meg> :-)
Meg>
Meg> Вот такие вот чудеса.

Hу дела... а схема то неотлаженная оказалась...
Кстати, на VCCIO еыход nSTATUS сажать нельзя. он сдохнуть может из-за этого.
Его надо к VCC резистором в 1 кОм притягивать.

от: Павел А. Иванов
кому: All
дата: 19 Feb 2007
Hello, WingLion

Win> Кстати, на VCCIO еыход nSTATUS сажать нельзя. он сдохнуть может из-за
Win> этого. Его надо к VCC резистором в 1 кОм притягивать.

1К у меня под рукой не оказалось, но 4к7 тоже вроди ничего.

от: Ivan Mak
кому: All
дата: 22 Feb 2007
Hello, MegaMyth

Meg> 1К у меня под рукой не оказалось, но 4к7 тоже вроди ничего.

Хватило бы и 10 кОм (много ли КМОПу надо для подтяжки?), просто
помехоустойчивость в таком случае похуже. Для экспериментов оно сойдет, а в
конечном устройстве лучше поставить 1 кОм, а то и 510 Ом, чтобы самому себе
грабли не подставлять.

от: Ivan Mak
кому: All
дата: 28 Feb 2007
Hello, The Exploited

The> всего то???

Угу, а шо?
Я не жадный, много не требую! :v2_lol:

от: Марк Антонов
кому: All
дата: 28 Feb 2007
Hello, WingLion

Win> Угу, а шо?
Win> Я не жадный, много не требую

ых, я средь ночи букву К не разглядел :)

от: Ivan Mak
кому: All
дата: 28 Feb 2007
Hello, The Exploited

какой страшный оффтопик...
нет, все... ухожу в магазин сантехники за деталями для Спринтера!

от: Павел А. Иванов
кому: All
дата: 01 Mar 2007
Hello, DIMA 1

DIM> И что всё затихло ????? И не появится спектрума на 24 мгц и выше ????

Затихло только на форуме. В жизни всё кипит. Правда пока путешествую по
гравлям, но надеюсь скоро с них сойду :-)

от: Дмитрий Михайлович Горбунов
кому: All
дата: 02 Mar 2007
Hello, MegaMyth

MegaMyth ты бы выложил пару фоток как кипит работа , ведь интересно ....




Темы: Игры, Программное обеспечение, Пресса, Аппаратное обеспечение, Сеть, Демосцена, Люди, Программирование

Похожие статьи:
"Macro-Modem v2.1" - о терминалке
Список BBS - Список станций BBS.
Приколы нашего городка - Как я разговаривал с Инопланетянином.
Софтятина - обзор новой прессы и игр: Odyssey #2, Oberon #4, Spectrofon 22-23, ZX-Format 7, Amiga #1, Faultless 8, ZX-РЕВЮ 5-6, Amazing 1, X-Reversy, The Last Curieur, Pro Trackerr 3.0.
TRSH-SKA3KA - Мужик и медведь.

В этот день...   29 марта