City #49
20 октября 2000
  Железо  

Планета шелезяка - Буфер шины адреса CPU Z80 (продолжение).

<b>Планета шелезяка</b> - Буфер шины адреса CPU Z80 (продолжение).

  ┌──────────────────────────────────────────────────────────┐
  │  ####  ###  ### #  # #### #####  ###                     │  │  #  # #  # #  # #  # #      #   #  #                     │  │  #  # #  # #### #### ###    #   ####                     │  │  #  # #  # #  # #  # #      #   #  #                     │  │  #  # #  # #  # #  # ####   #   #  #                     │  │                                                          │  │                #   # ####  ### ####  ##   ### #  #  ###  │  │                # # # #    #  # #    #  # #  # # #  #  #  │  │                # # # ###  #  # ###    #   ### ##   ####  │  │                # # # #    #  # #    #  #  # # # #  #  #  │  │                ##### #### #  # ####  ##  #  # #  # #  #  │  └──────────────────────────────────────────────────────────┘    ▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓▓

              The ZX-SPECTRUM 48 & 128 computers
              ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
              Extended devices and user's manual
              ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
(c) UAЗPRQ/Borisov Serge

                        продолжение

 Буфер шины адреса CPU Z80:

          К555АПб                          К555АПб
       ┌───┬────┬───┐                  ┌───┬────┬───┐
     2 │   │ BD │   │18              2 │   │ BD │   │18
A0 ────┤A0 │    │B0 ├────> A0'  A8 ────┤A0 │    │B0 ├────> A8'
       │   │    │   │                  │   │    │   │
.. ... │.. │    │.. │ ...  ..   .. ... │.. │    │.. │ ...  ..
     9 │   │    │   │11              9 │   │    │   │11
A7 ────┤A7 │    │B2 ├────> A7'  A15────┤A7 │    │B2 ├────> A15'
       │   │    │   │                  │   │    │   │
       ├───┤    ├───┤                  ├───┤    ├───┤
     1 │   │    │   │20              1 │   │    │   │20
+5V────┤A/B│    │Ucc├───> +5V  +5V ────┤A/B│    │Ucc├────> +5V
     9 │ ─ │    │   │10              9 │ ─ │    │   │10
    ┌──┤EO │    │GND├───┐           ┌──┤EO │    │GND├───┐
    │  │   │    │   │   │           │  │   │    │   │   │
   ▀▀▀ └───┴────┴───┘  ▀▀▀         ▀▀▀ └───┴────┴───┘  ▀▀▀

  Знаком  (')  отмечены  выходные  сигналы, которые в дальнейшем
должны использоваться для подключения периферии.
  Аналогичным   образом   желательно  пробуферизировать  сигналы
управления Z80:
                      К555АПб
                  ┌───┬─────┬───┐
      ──        2 │   │ BD  │   │18       ──
Z ..  RD  ────────┤A0 │     │B0 ├───────> RD'
X     ──        3 │   │     │   │17       ──
      WR  ────────┤A1 │     │B1 ├───────> WR'
S    ───        4 │   │     │   │16        ───
P   OIRQ* ────────┤A2 │     │B2 ├───────> OIRQ*'
E               5 │   │     │   │15
C  CLK 3.5 ───────┤A3 │     │B3 ├───────> 3.5' Mhz
T               6 │   │     │   │14
R  CLK 2.0 ───────┤A4 │     │B4 ├───────> 2.0' Mhz
U               7 │   │     │   │13
M  CLK 1.75 ──────┤A5 │     │B5 ├───────> 1.75' Mhz
    ─────       8 │   │     │   │12       ─────
    RESET  ───────┤A6 │     │B6 ├───────> RESET'
                9 │   │     │   │11
    резерв ───────┤A7 │     │B7 ├───────>
                  │   │     │   │
                  ├───┤     ├───┤
                1 │   │     │   │20
     +5V  ────────┤A/B│     │Ucc├────> +5V
                9 │ ─ │     │   │10
             ┌────┤EO │     │GND├────┐
             │    │   │     │   │    │
            ▀▀▀   └───┴─────┴───┘   ▀▀▀

  Желательно  буфер шины данных установить на панельку, т.к. при
экспериментах  "жертвой"  часто  становится  именно он. Буфер ШД
автоматически  отключается  от  шины  при  обращении компьютером
(чтение/запись)  к портам #7B,#FB и #FE. В 128К компьютерах порт
#XXFD  все  же  не  контролируется  данной  схемой,  однако  при
обращении  к регистрам музыкального сопроцессора (чтение/запись)
все  происходит корректно, т.к. AY подключается через буфер; при
обращении  же  к  RAM-DISK  (#7FFD) производится только запись в
этот порт  и , в принципе, не важно, что буфер пропускает данные
через себя. В режиме маскируемых прерываний процессора (IMO,IM2)
даже   при     совпадении    адресов   A0=1, A2=1   сигнал  IORQ
активизирутся,  что   выводит  буфер  ШД  из Z-состояния, однако
неактивный  сигнал  RD  в  цикле  подтверждения  прерывания  Z80
переключает  направление буфера таким образом, что к шине CPU он
подключен  входами.  Таким  образом  буфер не изменяет состояния
шины  и  при  отсутствии  на  ней  активного  устройства в цикле
подтверждения  прерывания  вектор,  считываемый  процессором, не
изменяется  и  в ZX-SPECTRUM без подключенного INTERFACE-1 равен
#FF.  Т.к.  подобное  устройство  является более чем редкостью в
нашей   стране,   не  рекомендуется  аппаратно  изменять  вектор
прерывания  (кроме  специально  разработанных  для такого режима
устройств)  потому, что это сделает неработоспособным программы,
использующие    режим   IMO, IM2.    Применение   в   компьютере
программируемого   параллельного   адаптера   БИС   KPS8OBB55(А)
значительно расширяет дальнейшую эксплуатацию машины и позволяет
пользователю  в  дальнейшем не заботиться подключением "не очень
быстрых"    устройств    работающих    в    параллельном    коде
(принтеров,АЦП,ЦАП,устройств диагностики микросхем,программатора
и  т.д.).  Программная поддержка через порт упрощает интерфейс и
делает  его  более  компактным. Если все же есть необходимость в
использовании  нескольких аналогичных портов одновременно (напр.
в расширенном программаторе ПЗУ) желательно подсоединяться через
системную   шину,  т.к.  уменьшается  количество  соединительных
проводов,  и  использовать внутренние сигналы CHANNEL-0,..,5 для
CS этих устройств.

                     продолжение следует ...



Другие статьи номера:

Преамбула - исполнилась мечта Nik-O услышать свою музыку в моей газете :-)

Планета шелезяка - Буфер шины адреса CPU Z80 (продолжение).

Смайлик - У Вас глисты есть?

Ликбез - ПОЛHОЕ ОПИСАHИЕ + ПОЛHЫЙ ДИЗАССЕМБЛЕP ПЗУ TR-DOS 5.04 (5.03)

Бук - Лабиринт Отражений.

Beatles - В наших глазах.

Двигатель торговли - Пpиобpету pазличную инфоpмацию по "железу" Spectruma (особенно Scorpiona): схемы, чеpтежи, ПО.


Темы: Игры, Программное обеспечение, Пресса, Аппаратное обеспечение, Сеть, Демосцена, Люди, Программирование

Похожие статьи:
PUSH HL - Нажмите MAGIC для BORDER-эффекта.
Юмор - анекдоты.
Artique - "недостающие штрихи" рефлексия Kejser_Soze.
Как всё это было - кой-какие внутри-городские разборки. В очередной раз "Eternity Industry" облили грязью в газетенке "zX-pILOT".
Сценохрония - Проект Long Live Amiga, призванный помочь становлению и развитию амиговской сцены.

В этот день...   19 апреля